Experimentelle Hardwareprojekte. Projekt A-2: Befehlszähler eines RISC-Prozessors. Volker Dörsing 20. März 2014

Größe: px
Ab Seite anzeigen:

Download "Experimentelle Hardwareprojekte. Projekt A-2: Befehlszähler eines RISC-Prozessors. Volker Dörsing 20. März 2014"

Transkript

1 Friedrich Schiller Universität Jena Rechnerarchitktur und Advanced Computing Experimentelle Hardwareprojekte Projekt A-2: Befehlszähler eines RISC-Prozessors Volker Dörsing 20. März 2014 Inhaltsverzeichnis 1 Einführung Vorbereitung Befehlszähler des DLXJ-Prozessors Funktion des Befehlszähler im DLXJ-Prozessor Durchführung Funktionale Simulation Synthese und Implementierung Zeitbehaftete Simulation Erprobung Aufgabenstellung Funktionale Simulation Synthese und Implementierung Zeitsimulation Erprobung der Experimentalschaltung Literatur Abkürzungen und Vereinbarungen Einführung Die DLXJ-Prozessorarchitektur ist eine RISC Architektur und wurde mit VHDL synthesefähig spezifiziert. In diesem Teil des Projektes lernen Sie einen Auszug aus dem Prozessorkern des DLXJ-Prozessors kennen [3]. Aus dem Datenpfad des Prozessorkerns (3.1 Datenpfad, Abb. 3.2) werden nur wenige Funktionseinheiten benutzt und der Befehlszähler genauer untersucht. Der Datenpfad mit dem Befehlszähler bildet eine etwas komplexere funktionelle Einheit, die Sie mit dem Experimentalsystem austesten. Die funktionelle und zeitbehaftete Simulation sollen Ihr Verständnis für das Verhalten des DLXJ-Prozessors unterstützen. Ein Ziel des Versuches ist es, zu verstehen, welche Signalfolgen an die Funktionseinheiten angelegt werden müssen, um eine korrekte Funktion zu erhalten. Diese Signalfolgen müssen im regulären Betrieb des Prozessors durch die Steuerung bereitgestellt werden. Für die Durchführung dieses Projektes, wird die Kenntnis der Arbeitsschritte der CAE- Entwicklung und die Funktion des Latch aus dem Projekt A-1 vorausgesetzt. Die Erprobung erfolgt mit dem FPGA-Experimentalsystem. 1

2 2 Vorbereitung 2.1 Befehlszähler des DLXJ-Prozessors Der Datenpfad des DLXJ-Prozessors ist im Abschnitt 3.1 beschrieben und in der Abbildung 3.2 der Dokumentation [3] des Prozessors dargestellt. In dem Projekt A2 wird die Breite des Datenpfades auf 8 Bit (Busbreite) beschränkt und nur die folgenden Komponenten benutzt: PC: Befehlszähler Latch L1, L2: Eingangs-Latches der ALU ALU: Additionswerk Constants: Konstantenspeicher S1-, S2-Bus: zwei interne 8 Bit breite Quellbusse Dest_Bus: 8 Bit breiter Zielbus PC_addr_out: 8 Bit Ausgabe-Adresse des Befehlszählers für den Speicher Der hier verwendete Auszug aus dem Datenpfad ist in der Abbildung dargestellt. Die ALU ist fest auf Addition eingestellt. Im Konstantenspeicher befinden sich 3 Zahlen. Durch das Signal const_sel wird eine Konstante ausgewählt und während der Freigabe durch das low-aktive Signal /const_o1_en auf den S1-Bus gelegt: 00 0x0 01 0x1 10 0x4 Um dem Datenpfad überhaupt einen anfänglichen Wert zu geben, wird die Konstante 0x0 benötigt und mit dem low-aktiven Signal /const_o2_en auf den S2-Bus gelegt. Die beiden Latches L1 und L2 werden durch den Takt PHI1 gesteuert, während der Befehlszähler durch den Takt PHI2 gesteuert wird. Die Takte PHI1 und PHI2 sind nicht symmetrisch und zueinander um eine halbe Periode verschoben. Diese Konstellation sorgt dafür, dass das Ergebnis der ALU sicher im Befehlszähler gespeichert werden kann. Der Inhalt des Befehlszählers wird durch die beiden Signale PC_latch_en und PHI2 gesteuert. Das low-aktiv Signal /PC_out_en wirkt nur auf das Signal S2_Bus. Das Signal PC_addr_out ist immer aktiv. Der S2-Bus hat zwei Quellen: den Befehlszähler und den Konstantenspeicher. Durch deren lowaktive Freigabe-Signale kann die Quelle ausgewählt und verhindert werden, dass es zu einem Konflikt kommt. 2.2 Funktion des Befehlszähler im DLXJ-Prozessor Der Datenpfad und der Befehlszähler erhält seine Funktion durch die Steuerung des DLXJ- Prozessorkerns. Zur Erarbeitung der Funktionsweise studieren Sie die Architektur des Prozessors [3] und machen Sie sich klar, für welche Befehle und deren Grundschritte bei deren Ausführung der Befehlszähler benötigt wird. 2

3 3 Durchführung Für die Durchführung haben Sie dieselbe Konstellation wie im Projekt A1 zur Verfügung. Allerdings werden jetzt mehrere Dateien für die Beschreibung des Datenpfades und seiner Komponenten verwendet: datapath.vhd, alu_core.vhd, bus_const32.vhd, word_latch_1.vhd, word_latch_e_1e1.vhd. Weiterhin werden spezielle VHDL-Typen und -Packages für den DLX-Prozessor benötigt. All diese VHDL-Dateien müssen analysiert werden. 3.1 Funktionale Simulation Für die funktionale Simulation steht Ihnen das Skript fsim.sh zur Verfügung. Bevor Sie die Testumgebung ändern, fertigen Sie zu Ihrer Sicherheit eine Kopie der gegebenen Testumgebung an. Nach Änderungen der Testumgebung muss sie analysiert und erneut die Simulation durchgeführt werden. Für die Analyse ohne Simulation steht Ihnen das Skript an.sh zur Verfügung. Es eignet sich, um nach Änderungen der Testumgebung einen korrekten Syntax sicher zu stellen. 3.2 Synthese und Implementierung Für die Synthese und die Implementierung steht Ihnen das Skript imp.sh zur Verfügung. 3.3 Zeitbehaftete Simulation Für die zeitbehaftete Simulation steht Ihnen das Skript tsim.sh zur Verfügung. Bevor Sie die Testumgebung von der funktionalen Simulation ändern, fertigen Sie zu Ihrer Sicherheit eine Kopie an. Auch hier ist die Verwendung des Skriptes an.sh empfehlenswert. Beachten Sie, dass das FPGA-Modell 100 ns Initialisierungszeit benötigt. 3.4 Erprobung Für die Erprobung steht Ihnen fast dieselbe Konstellation wie im Projekt A1 zur Verfügung. In diesem Projekt werden von dem FPGA Xilinx Spartan 3AN, die Reihe von 8 LEDs rechts neben dem LCD-Display und 2 Stellen einer 7-Segment-Anzeige benutzt. Die Testprogramme werden auf dem Rechner an dem Sie arbeiten ausgeführt und nicht in dem Fenster vom Lehrstuhlserver. Das Programm konf xilinx/fpga.bit konfiguriert den FPGA. Die Konfigurationsdaten befinden sich in dem Verzeichnis für die Implementierung. Mit dem Programm prob werden Signalwerte an die Eingabeanschlüsse des FPGA gelegt. Diese Signalwerte werden an 8 LEDs rechts neben dem LCD-Display angezeigt. Das Signal PC_ADDR_OUT wird durch zwei Stellen einer 7-Segment-Anzeige dargestellt. Die Werte im Inneren der Schaltung insbesondere auch der inneren Busse sind für Sie nicht sichtbar. Aus diesem Grund ist es besonders wichtig, dass Sie sehr sorgfältig die zeitbehaftete Simulation verstehen, um jetzt durch geeignete Eingaben auch sinnvolle Ergebnisse zu erhalten. Folgende Befehle stehen zur Verfügung: sck, rck - PHI1 = "1", PHI2 = "0" bzw. PHI1 = "0", PHI2 = "1" cs - const_sel = 0 2 sce1, rce1 - /const_o1_en = "1" bzw. "0" sce2, rce2 - /const_o2_en = "1" bzw. "0" sple, rple - PC_latch_en = "1" bzw. "0" spoe, rpoe - /PC_out_en = "1" bzw. "0" h - Hilfe q - beendet Programm 3

4 Die Eingaben durch das Testprogramm können Sie am Bildschirm verfolgen. Zur Kontrolle wird das Bit-Muster der Steuersignale als hexadezimale Zahl nach jeder Eingabe angezeigt. Weiterhin sind die Steuersignale an den oben genannten 8 LEDs zu beobachten. Es gibt folgende Zuordnung: Bitstelle Befehl rpoe sple sce2 rce1 cs2 cs2 rck rck Signal /PC_out _en PC_lat ch_en /const _o2_en /const _o1_en const_ sel(1) const_ sel(0) PHI2 Beispiel PHI1 Zum Beispiel ergibt /PC_out_en = "0", PC_latch_en = "1", /const_o2_en = "1", /const_o1_en = "0", const_sel = "2", PHI2= "1" und PHI1 = "0" das Muster: 0x6a. 4 Aufgabenstellung Studieren Sie die Versuchsanleitung und den DLXJ RISC-Prozessor [3]. Beschäftigen Sie sich besondere mit dem Datenpfad des DLXJ-Prozessorkerns (3.1 Datenpfad, Abb. 3.2). Simulieren, synthetisieren und implementieren Sie den vom Betreuer vorgegebenen VHDL- Auszug des Prozessorkerns. Erkunden und Protokollieren Sie die Funktion des Befehlszählers im konfigurierten FPGA. 4.1 Funktionale Simulation 1. Simulieren Sie die Eingaben aus der Testumgebung. 2. Bestimmen Sie die Ein- und Ausgangssignale des Befehlszählers und ihre Funktion. Welche Wirkung haben die Signale const_sel, /const_o1_en, /const_o2_en, PC_latch_en, /PC_out_en, PC_adr_out, PHI1 und PHI2. Unter welchen Bedingungen gelangen Daten vom Konstanten-Speicher auf den S1-, S2-Bus, ist das Resultat der ALU stabil, ist der Inhalt des PC Latch stabil, wird der Inhalt des PC Latch an den Adress-Bus gegeben, wird der Inhalt des PC Latch auf den S2-Bus gelegt. 3. Erweitern Sie die Testumgebung. Demonstrieren und dokumentieren Sie mindestens 4 Funktionen des Befehlszählers. 4. Stellen Sie eine Funktionstabelle auf. 5. Drucken Sie eine Übersicht aus. 4.2 Synthese und Implementierung 1. Synthetisieren und implementieren Sie den Datenpfad. 2. Welche Komponenten werden verwendet und wie hoch ist ihre Anzahl. 4.3 Zeitsimulation 1. Simulieren Sie den implementierten FPGA mit den Eingaben aus der erweiterten Testumgebung (Aufgabe 1.3). 2. Welche Signale fehlen und warum. Welche Folgen resultieren für die Simulation und die spätere Erprobung. 3. Was stellen Sie fest. Ziehen Sie Schlussfolgerungen. Was muss verändert werden. 4. Realisieren sie folgende Funktionen des Befehlszählers durch die Testumgebung, dokumentieren Sie diese und begründen Sie die Eingaben: Befehlszähler um 4 erhöhen Befehlszähler um 1 erhöhen 4

5 Befehlszähler um 0 erhöhen Befehlszähler auf 0 setzen Standardfunktion des DLXJ-Befehlszählers 5. Dokumentieren Sie die Veränderungen in der Testumgebung. Begründen Sie die Änderungen im Einzelnen. Drucken Sie eine Übersicht vor und nach den Änderungen der Testumgebung aus. 6. Messen Sie die Verzögerungen zwischen PHI2 und PC_addr_out bei 3 verschiedenen PC- Werten. Sind diese Zeiten im Verlauf der Simulation immer gleich? Begründen Sie Ihre Aussage. Drucken Sie eine Messung im Überblick aus. 4.4 Erprobung der Experimentalschaltung 1. Konfigurieren Sie das FPGA-Experimentalsystem. 2. Experimentieren Sie mit verschiedenen Signalfolgen. Beobachten und protokollieren Sie die Eingaben und die Anzeige nachvollziehbar. Erläutern Sie die jeweiligen Signalfolgen. 3. Führen Sie folgende Funktionen des Befehlszählers aus, dokumentieren Sie diese und begründen Sie die Eingaben: Befehlszähler um 4 erhöhen Befehlszähler um 1 erhöhen Befehlszähler um 0 erhöhen Befehlszähler auf 0 setzen Standardfunktion des DLXJ-Befehlszählers 5 Literatur 1. Schaltungsdesign mit VHDL: Synthese, Simulation und Dokumentation digitaler Schaltungen; Gunther Lehman, Bernhard Wunder, Manfred Selz; Poing, Franzis Das VHDL-Informationsmedium der FH Köln: VHDL-easy 3. Reinsch, A.: Der DLXJ RISC-Prozessor - Architektur, Implementierung, Test. FSU Jena, Institut für Informatik, LS Rechnerarchitektur, März Xilinx Spartan-3AN FPGA Family Data Sheet, v3.2 - Product Specification, Abkürzungen und Vereinbarungen ALU arithmetisch-logische Einheit (arithmetic logic unit) DLX RISC-Prozessorarchitektur von Hennessy und Patterson DLXJ Jenaer Version von Dr. Reinsch [3] FPGA Field Programmable Gate Array, Programmierbarer Schaltkreis LCD Flüssigkristallanzeige (liquid crystal display) LED Leuchtdiode (light emitting diode) PC Befehlszähler (program counter) Latch VHDL VHSIC (Very High Speed Integrated Circuit) Hardware Description Language, standardisiert durch IEEE

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014

Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014 Friedrich Schiller Universität Jena Rechnerarchitektur und Advanced Computing Experimentelle Hardwareprojekte Projekt A-1: CAE-Werkzeuge Volker Dörsing 20. März 2014 Inhaltsverzeichnis 1. Einführung...

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop

Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop Rechnerarchitektur und Betriebssysteme (CS201): Architektur, ALU, Flip-Flop 17. September 2013 Prof. Dr. Christian Tschudin Departement Mathematik und Informatik, Universität Basel Uebersicht Ausgewählte

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Self-aware Memory: Hardware-Prototyp eines Prozessorknotens

Self-aware Memory: Hardware-Prototyp eines Prozessorknotens Self-aware Memory: Hardware-Prototyp eines Prozessorknotens Robert Schelkle Universität Karlsruhe (TH) Institut für Technische Informatik (ITEC) Lehrstuhl für Rechnerarchitektur 24. März 2009 Robert Schelkle

Mehr

2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16

2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16 2. Computer (Hardware) K. Bothe, Institut für Informatik, HU Berlin, GdP, WS 2015/16 Version: 14. Okt. 2015 Computeraufbau: nur ein Überblick Genauer: Modul Digitale Systeme (2. Semester) Jetzt: Grundverständnis

Mehr

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (2) Architektur des Haswell- Prozessors (aus c t) Einführung

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

Vorlesung Rechnerarchitektur. Einführung

Vorlesung Rechnerarchitektur. Einführung Vorlesung Rechnerarchitektur Einführung Themen der Vorlesung Die Vorlesung entwickelt an Hand von zwei Beispielen wichtige Prinzipien der Prozessorarchitektur und der Speicherarchitektur: MU0 Arm Speicher

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 3 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Technische Informatik

Technische Informatik Technische Informatik Eine einführende Darstellung von Prof. Dr. Bernd Becker Prof. Dr. Paul Molitor Oldenbourg Verlag München Wien Inhaltsverzeichnis 1 Einleitung 1 1.1 Was ist überhaupt ein Rechner?

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

1. Übung - Einführung/Rechnerarchitektur

1. Übung - Einführung/Rechnerarchitektur 1. Übung - Einführung/Rechnerarchitektur Informatik I für Verkehrsingenieure Aufgaben inkl. Beispiellösungen 1. Aufgabe: Was ist Hard- bzw. Software? a Computermaus b Betriebssystem c Drucker d Internetbrowser

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Philipp Grasl PROZESSOREN

Philipp Grasl PROZESSOREN 1 PROZESSOREN INHALTSVERZEICHNIS Definition/Verwendung Prozessor Historische Entwicklung Prozessor Aufbau Prozessor Funktionsweise Prozessor Steuerung/Maschinenbefehle Prozessorkern Prozessortakt 2 DEFINITION

Mehr

Prozessor HC680 fiktiv

Prozessor HC680 fiktiv Prozessor HC680 fiktiv Dokumentation der Simulation Die Simulation umfasst die Struktur und Funktionalität des Prozessors und wichtiger Baugruppen des Systems. Dabei werden in einem Simulationsfenster

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

3. Rechnerarchitektur

3. Rechnerarchitektur ISS: EDV-Grundlagen 1. Einleitung und Geschichte der EDV 2. Daten und Codierung 3. Rechnerarchitektur 4. Programmierung und Softwareentwicklung 5. Betriebssyteme 6. Internet und Internet-Dienste 3. Rechnerarchitektur

Mehr

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation

Willkommen. Programmierung (MGP) von FPGAs. zur Präsentation Willkommen zur Präsentation Modulare Grafische Programmierung (MGP) von FPGAs Dr.-Ing. J. Pospiech AVT GmbH Ilmenau Am Hammergrund 1 98693 Ilmenau Tel: +49 (0)3677 / 64 79 0 Fax: +49 (0)3677 / 64 79 69

Mehr

Aufgaben zur Attiny-Platine

Aufgaben zur Attiny-Platine Das Attiny-Projekt Aufgaben 1 Aufgaben zur Attiny-Platine 1. LEDs blinken 1.1 Schließen Sie eine rote LED an PortB.0 und eine grüne LED an PortB.1 an (vgl. Abb. 1). Achten Sie dabei darauf, dass die langen

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabe: Entwurf und Implementierung eines Weckers auf Basis des MSP430 Education Systems Beschreibung: Gegenstand des Praktikums ist der

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

WaveFormer Pro in Simulationsumgebungen mit ModelSim

WaveFormer Pro in Simulationsumgebungen mit ModelSim EDV BERATUNGS- UND HANDELSGESELLSCHAFT WEDELER LANDSTR. 93 22559 HAMBURG TEl.: (040) 18980520 FAX: (040) 811037 Http://www.databit.de WaveFormer Pro in Simulationsumgebungen mit ModelSim WaveFormer Pro

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Name: Vorname: Matr.-Nr.: 4. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen stets ein mikroprogrammierbares Steuerwerk verwenden.

Name: Vorname: Matr.-Nr.: 4. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen stets ein mikroprogrammierbares Steuerwerk verwenden. Name: Vorname: Matr.-Nr.: 4 Aufgabe 1 (8 Punkte) Entscheiden Sie, welche der folgenden Aussagen zum Thema CISC/RISC-Prinzipien korrekt sind. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Einführung Unsere erste Amtshandlung: Wir schrauben einen Rechner auf Grundlagen der Rechnerarchitektur Einführung 2 Vorlesungsinhalte Binäre Arithmetik MIPS Assembler

Mehr

Laufzeitoptimierte VHDL Bibliothek zur Verifikation und Simulation kryptographischer Prozessoren

Laufzeitoptimierte VHDL Bibliothek zur Verifikation und Simulation kryptographischer Prozessoren Laufzeitoptimierte VHDL Bibliothek zur Verifikation und Simulation kryptographischer Prozessoren Mathias Schmalisch Hagen Ploog Dirk Timmermann Universität Rostock Übersicht Motivation Arithmetik Implementierung

Mehr

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 -

Mikrocomputertechnik. Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikrocomputertechnik Thema: Der Aufbau des XC888-Mikrocontrollers -Teil 1 - Mikroprozessor-Achritekturen Folie 2 Mikroprozessor-Achritekturen Klassifizierung anhand Wortbreite CPU-Architektur und Busleitungen

Mehr

7V: Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digitalspeicher-Oszilloskop Agilent VEE - Direct I/O (SCPI)

7V: Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digitalspeicher-Oszilloskop Agilent VEE - Direct I/O (SCPI) Hochschule Merseburg (FH) FB INW Praktikum Virtuelle Instrumentierung 7V: Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digitalspeicher-Oszilloskop Agilent VEE - Direct I/O (SCPI) Agilent Digital

Mehr

Vom Chip zum Gehirn Elektronische Systeme zur Informationsverarbeitung

Vom Chip zum Gehirn Elektronische Systeme zur Informationsverarbeitung Vom Chip zum Gehirn Elektronische Systeme zur Informationsverarbeitung Johannes Schemmel Forschungsgruppe Electronic Vision(s) Lehrstuhl Prof. K. Meier Ruprecht-Karls-Universität Heidelberg Mitarbeiter:

Mehr

Im Original veränderbare Word-Dateien

Im Original veränderbare Word-Dateien Das Von-Neumann-Prinzip Prinzipien der Datenverarbeitung Fast alle modernen Computer funktionieren nach dem Von- Neumann-Prinzip. Der Erfinder dieses Konzeptes John von Neumann (1903-1957) war ein in den

Mehr

A n l e i t u n g. Beamer- Treiber- Download (FBI EB/ 19 )

A n l e i t u n g. Beamer- Treiber- Download (FBI EB/ 19 ) A n l e i t u n g Beamer- Treiber- Download (FBI EB/ 19 ) Kapitel 1: Vorbereitende Schritte......................................... Seite 1 bis 5 Kapitel 2: Auswechseln des Beamertreibers mittels........................

Mehr

Installationshandbuch

Installationshandbuch Installationshandbuch SI-FAMAhome und SI-FAMAhome+ Spillmann Informatik GmbH, Häsiweg 9C, 5018 Erlinsbach Seite 1 von 16 Inhaltsverzeichnis Inhalt Inhaltsverzeichnis... 2 Download vom Internet... 3 Installation...

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, einen Taschenrechner

Mehr

XILINX ISE WEBPACK und DIGILENT BASYS2

XILINX ISE WEBPACK und DIGILENT BASYS2 XILINX ISE WEBPACK und DIGILENT BASYS2 Eine kurze Einführung. Download ISE Projektdateien auch links im Menü (ZIP) Abteilung Elektronik an der HTL Mödling 2011/2015 Grundbegriffe Xilinx ist eine Firma

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Jan Monsch. Donnerstag, 2. Mai 13

Jan Monsch. Donnerstag, 2. Mai 13 101 Jan Monsch Agenda Arduino Platform Digitale Ausgaben Analoge Eingänge Digitale Eingaben I2C Geräte (Digitales Thermometer) Arduino SW Download goo.gl/dj5l2 Was ist Arduino? Open Source Einplatinen-Computer

Mehr

Testdokument (Universität Paderborn, Softwaretechnikpraktikum SS2006)

Testdokument (Universität Paderborn, Softwaretechnikpraktikum SS2006) Testdokument (Universität Paderborn, Softwaretechnikpraktikum SS2006) Alles, was in dieser Schriftart gesetzt ist, dient nur zur Erläuterung und sollte im fertigen Dokument nicht mehr enthalten sein! Projekt:

Mehr

Versuch 7 Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digital-Oszilloskop (Direct I/O)

Versuch 7 Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digital-Oszilloskop (Direct I/O) Fachhochschule Merseburg FB Informatik und Angewandte Naturwissenschaften Praktikum Messtechnik Versuch 7 Komplexe Übertragungsfunktion eines RC-Gliedes mittels Digital-Oszilloskop (Direct I/O) Agilent

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 4 AM 21.05.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse 1/40 2008-10-13 Übersicht 1 Einleitung 2 Bus-Konfiguration

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

VERWENDUNG DES FRAGEBOGENBAUKASTENS

VERWENDUNG DES FRAGEBOGENBAUKASTENS VERWENDUNG DES FRAGEBOGENBAUKASTENS Der Fragebogenbaukasten liegt als Zip-Datei im standardisierten QTI-Format vor und kann daher in CommSy-Projekträume und OLAT-Kurse eingebunden werden. In OLAT-Kursen

Mehr

Version 0.3. Installation von MinGW und Eclipse CDT

Version 0.3. Installation von MinGW und Eclipse CDT Version 0.3 Installation von MinGW und Eclipse CDT 1. Stellen Sie fest, ob Sie Windows in der 32 Bit Version oder in der 64 Bit Version installiert haben. 2. Prüfen Sie, welche Java Runtime vorhanden ist.

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung Anwendungen der Prozessdatenverarbeitung (Liste V) Microcontroller Programmierung Technisches Handbuch Christoph Schulz Patrik Simon Dirk Stein Sommersemester 2005 INHALTSVERZEICHNIS Einführung - 2 - Pinbelegung

Mehr

ZENTRALEINHEITEN GRUPPE

ZENTRALEINHEITEN GRUPPE 31. Oktober 2002 ZENTRALEINHEITEN GRUPPE 2 Rita Schleimer IT für Führungskräfte WS 2002/03 1 Rita Schleimer TEIL 1 - Inhalt Zentraleinheit - Überblick Architekturprinzipien Zentralspeicher IT für Führungskräfte

Mehr

Cls. Der Aufbau der Schaltung geschieht mit dem HWPRAK-Altera-Board, das in diesem Versuch nun aus den folgenden Komponenten besteht:

Cls. Der Aufbau der Schaltung geschieht mit dem HWPRAK-Altera-Board, das in diesem Versuch nun aus den folgenden Komponenten besteht: 9 Versuch Nr. 7 9.1 Anmerkungen zum Versuch Nr. 7 In den letzten drei Versuchen haben Sie die wichtigsten Bestandteile eines Rechners kennen gelernt, in der Software MAX+PlusII eingegeben und in den Baustein

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Wir übertragen Daten mit Licht

Wir übertragen Daten mit Licht Wir übertragen Daten mit Licht Durch das Internet werden täglich Unmengen von Daten von einem Ort an den anderen transportiert. Häufig geschieht dies über Glasfasern (Abb. 1). An dem einen Ende werden

Mehr

Protokoll zum Versuch 146

Protokoll zum Versuch 146 Elektronik-Praktikum am Dritten Physikalischen Institut der Universität Göttingen Wintersemester 2005/2006 Protokoll zum Versuch 146 Messgerätesteuerung über IEC-Bus Name: Georg Herink, Hendrik Söhnholz

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten

Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten Virtueller Seminarordner Anleitung für die Dozentinnen und Dozenten In dem Virtuellen Seminarordner werden für die Teilnehmerinnen und Teilnehmer des Seminars alle für das Seminar wichtigen Informationen,

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Analoge Schnittstellen

Analoge Schnittstellen Universität Koblenz Landau Name:..... Institut für Physik Vorname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Analoge Schnittstellen Versuch Nr. 8 Vorkenntnisse: Komponenten eines Mikrocontrollersystems,

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Übung zu Einführung in die Informatik # 10

Übung zu Einführung in die Informatik # 10 Übung zu Einführung in die Informatik # 10 Tobias Schill tschill@techfak.uni-bielefeld.de 15. Januar 2016 Aktualisiert am 15. Januar 2016 um 9:58 Erstklausur: Mi, 24.02.2016 von 10-12Uhr Aufgabe 1* a),

Mehr

Hardware/Software-Entwicklung + Teststand für Libera Hadron. im Rahmen des Kollaborationstreffen am 27.11.2009

Hardware/Software-Entwicklung + Teststand für Libera Hadron. im Rahmen des Kollaborationstreffen am 27.11.2009 Hardware/Software-Entwicklung + Teststand für Libera Hadron im Rahmen des Kollaborationstreffen am 27.11.2009 1 Inhalt Vorstellung der zentralen Hardware BPM Extender 3000 (2. Prototyp) Hardwareentwicklung

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Assembler - Adressierungsarten

Assembler - Adressierungsarten Assembler - Adressierungsarten Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2008 Assembler - Adressierungsarten 1/31 2008-04-01

Mehr

Vorlesung Automotive Software Engineering Teil 4 Das Automobil (1-3) Wintersemester 2014/15 TU Darmstadt, FB 18 und FB 20

Vorlesung Automotive Software Engineering Teil 4 Das Automobil (1-3) Wintersemester 2014/15 TU Darmstadt, FB 18 und FB 20 Vorlesung Automotive Software Engineering Teil 4 Das Automobil (1-3) Wintersemester 2014/15 TU Darmstadt, FB 18 und FB 20 Prof. Dr. rer. nat. Bernhard Hohlfeld Bernhard.Hohlfeld@mailbox.tu-dresden.de Technische

Mehr