Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014

Größe: px
Ab Seite anzeigen:

Download "Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014"

Transkript

1 Friedrich Schiller Universität Jena Rechnerarchitektur und Advanced Computing Experimentelle Hardwareprojekte Projekt A-1: CAE-Werkzeuge Volker Dörsing 20. März 2014 Inhaltsverzeichnis 1. Einführung Vorbereitung Schaltungsentwicklung für einen programmierbaren Schaltkreis Schaltungsentwurf Funktionale Simulation FPGA Xilinx Spartan-3AN Synthese Implementierung Zeitbehaftete Simulation Latch Durchführung Funktionale Simulation Synthese und Implementierung Zeitbehaftete Simulation Erprobung Aufgabenstellung Funktionale Simulation Synthese und Implementierung Zeitsimulation Erprobung der Experimentalschaltung Literatur Abkürzungen und Vereinbarungen Einführung Ein Field Programmable Gate Array (FPGA) ist ein komplexer wiederholt programmierbarer Logik-Schaltkreis. Er wird eingesetzt für Rapid Prototyping, als Co-Prozessor für massiv parallele Anwendungen (Kryptoanalyse), in eingebetteten Systemen oder auch in einem rekonfigurierbaren Computer. Mit der Hardware Beschreibungssprache VHDL lassen sich komplexe Hardware-Funktionen und ganze Systeme beschreiben. Aus solchen VHDL- Beschreibungen kann in einem automatisierten Entwurfsprozess eine Konfiguration für den FPGA generiert werden. Ziel der A-Projekte ist die Erzeugung eines FPGA mit den Funktionen eines Reduced Instruction Set Prozessors (RISC), dessen Befehle in nur einem Takt ausgeführt werden. In dem Projekt A1 lernen Sie am Beispiel der einfachen logischen Funktion eines D-Latch alle Arbeitsschritte vom Entwurf bis hin zu einem getesteten und funktionstüchtigen programmierba- 1

2 ren Schaltkreis kennen und führen sie aus. Der (FPGA-)Schaltkreis soll von Ihnen konfiguriert und seine Funktion ausgetestet werden. Die Automatisierung solcher Entwurfsprozesse schreitet immer weiter fort und besteht aus dem Entwurf, der Simulation, der Synthese, der Implementierung sowie der Erprobung. Dieser Vorgang wird als Electronic Design Automation (EDA) zusammengefasst. Ein Schwerpunkt des Projektes liegt auf dem Kennenlernen und dem Umgang mit Electronic Computer-Aided Engineering (CAE-) Werkzeugen. In der praktischen Übung kommen die kommerziellen Werkzeuge Synopsys Entwicklung Umgebung Version und die Integrated Synthesis Environment Version 9.1i von Xilinx (ISE) zum Einsatz. Der VHDL-Entwurf der Schaltungen wird Ihnen aus Zeitgründen vorgegeben. Für die Automatisierung der Arbeitsschritte verwenden Sie vorbereitete Kommandodateien, die Sie durch die Arbeitsschritte führen. Ein weiterer Schwerpunkt liegt auf den Eigenschaften eines D-Latch. Diese Funktion ist ein Bestandteil im Datenpfad des RISC-Prozessors ( [3], 3.1 Datenpfad, Abb. 3.2), den Sie im Verlauf dieses experimentellen Hardware Projektes implementieren. VHDL Kenntnisse sind in dem Projekt A1 nur in geringem Umfang erforderlich [1, 2, 5]. 2. Vorbereitung 2.1. Schaltungsentwicklung für einen programmierbaren Schaltkreis Im folgenden Abschnitt werden die Arbeitsschritte von der Aufgabenstellung bis hin zu einem getesteten programmierbaren Schaltkreis dargestellt. Diese Arbeitsschritte sind der Schaltungsentwurf, die funktionale Simulation, die Synthese, die Implementierung, die zeitbehaftete Simulation und die Erprobung. Siehe Abbildung 1, Seite Schaltungsentwurf Zuerst erfolgt die Umsetzung der Aufgabenstellung in einen Algorithmus und die Formulierung des gewählten Algorithmus in einer Hardwarebeschreibung, einer Schaltung oder einer Mischung aus einem Schaltplan und einer Hardwarebeschreibung. Wir gehen von der Hardwarebeschreibung mit der Sprache VHDL aus. Diese ist der Programmiersprache ADA verwandt und ermöglicht die textuelle Beschreibung von gleichzeitig (d.h. parallel) ablaufenden Vorgängen. Jede Funktionseinheit besteht aus einer Schnittstelle (Entity) und der eigentlichen Beschreibung (Architektur). In der Architektur kann sowohl das Verhalten als auch die Verbindung von Funktionseinheiten beschrieben werden. Verbundene Funktionseinheiten werden wiederum durch ihr Verhalten oder ihre Struktur beschrieben und so fort. Jede Strukturebene wird als Hierarchieebene bezeichnet. Zu Beginn des Versuches erhalten Sie Ihre funktionsfähigen VHDL-Beschreibungen vom Betreuer Funktionale Simulation Nach dem Entwurf einer Beschreibung, was dem Entwurf eines Schaltplans entspricht, wird die VHDL Beschreibung (Design) mit einem Simulator analysiert, was im Wesentlichen eine syntaktische Prüfung beinhaltet. Darauf folgt die Simulation der Schaltung. Damit die Aufgabenstellung überprüft werden kann, müssen Signale an die Schaltung angelegt werden, die die Schaltung entsprechend der gewünschten Funktion stimulieren. Dazu dient eine Testumgebung (testbench). Das ist ebenfalls eine VHDL-Beschreibung, welche die gewünschten Eingangssignale der Schaltung erzeugt. Sie muss ebenfalls analysiert werden und bindet die zu testende Schaltung als Funktionseinheit ein. 2

3 Die Testumgebung mit der formalen Schnittstelle (Entity) E stellt die oberste Hierarchieebene (/E) dar. In der Architektur der Testumgebung wird die Schnittstelle der zu testenden Schaltung den Signalen der Testumgebung zugeordnet. Diese Zuordnung hat den Bezeichner UUT (unit under test) und wird auch Instantiierung unserer Funktionseinheit (Komponente) genannt. Damit ist unsere Funktionseinheit als Instanz mit dem Namen UUT mit der Testumgebung verbunden. Die UUT stellt die nächst niedrigere Hierarchieebene (/E/UUT) dar. Da dieser Vorgang rekursiv erfolgen kann, entsteht eine Baumstruktur. Im nächsten Schritt wird eine ausführbare Datei simv erzeugt, die das Simulationsmodell enthält und sich auf die oberste Hierarchieebene als Ausgangspunkt und aktuellen Arbeitsbereich (/) bezieht. Mit dem Ausführen dieses Simulationsmodells wird die Simulationskonsole gestartet, welche die Testumgebung simuliert, was die Simulation der zu testenden Schaltung nach sich zieht. Abbildung 1: Ablauf Die simulierten Signalverläufe werden anschließend mit einem Visualisierungsprogramm angesehen und auf Korrektheit überprüft. Falls die Signalverläufe nicht der Aufgabe entsprechen, muss die Schaltungsbeschreibung geändert werden und der gesamte beschriebene Ablauf wird wieder durchlaufen. Eine reale Schaltung hat Signallauf- und Verzögerungszeiten. Auch eine funktionale Beschreibung kann Angaben zu Verzögerungszeiten enthalten, dann können diese auch in der Simulation berücksichtigt werden. Auf den Fall der zeitbehafteten Simulation wird später eingegangen FPGA Xilinx Spartan-3AN In dieser Aufgabe wird ein unbegrenzt oft programmierbarer Logikschaltkreis, ein FPGA aus der Spartan 3 Familie von Xilinx eingesetzt [4]. Er besteht aus der Speicher- und der Logik- Ebene. In der Speicherebene, die als SRAM ausgebildet ist, wird die Konfiguration des FPGA gespeichert. Sie steuert die Logikebene des Schaltkreises, der ein Feld von konfigurierbaren Logikblöcken (CLB - configurable logic block) in 48 Zeilen und 32 Spalten enthält. Diese sind von programmierbaren Eingangs-, Ausgangsblöcken (Input/Output Blocks) umgeben. Weiterhin enthält der Schaltkreis Takt-Puffer (GBUF) für die den ganzen Schaltkreis durchziehende Taktnetzwerke. Die Komponenten des Schaltkreises können durch lokale und globale Verdrahtungsnetze miteinander verbunden werden. Darüber hinaus enthält der FPGA weitere programmierbare Funktionselemente, die hier nicht behandelt werden. Jeder CLB besteht aus vier Teilen (Slice). Ein Slice besteht aus einer F und G Logikzelle, aus zwei Flip-Flop sowie einer Carry-Logik. Die Logikzellen sind Wahrheitswertetabellen (look-up table - LUT). Sie realisieren die kombinatorische Logik NOT, AND, OR, XOR. Der oben beschriebene Schaltungsentwurf wird durch die Synthese und Implementierung auf diese inneren Strukturen des Schaltkreises umgesetzt, so dass die Logikzellen, Flip-Flops und anderen Ressourcen die logischen Funktionen darstellen Synthese Der Syntheseprozess unterteilt sich in die Phasen Analyse (analog zur Simulation), Synthese und Export einer Netzliste für die Implementierung. Die abstrakte textuelle Beschreibung einer Schaltung wird im Laufe der Synthese in logische Funktionen überführt. Die Grundelemente für die kombinatorische Logik sind AND, OR und NOT. Das Grundelement der sequentiellen Logik ist das Flip-Flop. Die Konstrukte der mächtigen Programmiersprache VHDL müssen isoliert und in semantisch richtige logische Konstrukti- 3

4 onen übersetzt werden. Dies erfordert den Einsatz von Methoden der künstlichen Intelligenz mit Datenbanken, um die komplexen Gebilde der Programmiersprachen semantisch richtig zu interpretieren. Für einige logische und arithmetische Operatoren gibt es eine spezielle Design Ware Bibliothek, die diese Operatoren in für die Technologie optimierte Konstruktionen umsetzt. Weiterhin gibt es auch programmtechnische Formulierungen, die nicht sinnvoll synthetisiert werden können und deshalb von der Synthese ausgeschlossen sind. Durch das Einbinden von technologieabhängigen Bibliotheken können die Synthesewerkzeuge für die verschiedensten Technologien eingesetzt werden. Beispiele sind ASIC Technologien oder Technologien für programmierbare Bauelemente (PLA, CPLD, FPGA). Jede Technologie besitzt ihre eigenen besonderen Strukturelemente, Synthesezellen genannt. Beim FPGA kann man die Bestandteile der Slices als Synthesezelle ansehen. Ergebnis der Synthese ist eine Liste aller in dem FPGA verwendeten Bestandteile und die Verbindungen zwischen diesen. Diese Liste wird Netzliste genannt. Am Ende des Prozesses steht der Export der Netzliste für eine bestimmte Technologie (vgl. Abbildung 1: Ablauf) Implementierung Die bei der Synthese erzeugte Netzliste mit technologiespezifischen Synthesezellen wird von dem Implementierungswerkzeug, das im Allgemeinen vom Schaltkreishersteller bereitgestellt wird, auf den konkreten ausgewählten Schaltkreis (z.b. Xilinx Spartan 3AN 700 mit Gehäuse FGG84 und Geschwindigkeitsgrad 0.4 ns) abgebildet. Dabei müssen die synthetisierten Logikzellen den CLB des Schaltkreises zugeordnet werden. Weiterhin wird der zugeordnete Logikblock in die 48 Zeilen und 32 Spalten Anordnung des Schaltkreises eingefügt. Die Verbindungen zu anderen Logikblöcken und zu den Anschlüssen des Schaltkreises müssen hergestellt werden. Dieser Vorgang ist durch Implementierungsvorgaben beeinflussbar. In einem constraints file machen wir Vorgaben über die Lage der Schaltkreisanschlüsse. Vorgaben für Signallaufzeiten, Taktfrequenzen und Platzierung von Logikblöcken sind ebenfalls möglich. Die Implementierung erfolgt in den Phasen Übersetzen, Umwandlung der Netzliste von Synthesezellen in Logikblöcke, Platzierung der Logikblöcke, Verbinden der Anschlüsse, Berechnen der Verzögerungszeiten sowie Erzeugen eines Simulationsmodells mit Zeitinformationen und einer Konfigurationsdatei (vgl. Abbildung 1: Ablauf). Der Schaltkreis kann mit den grafischen Werkzeugen Constraints Editor, FPGA Editor und Floorplaner betrachtet und weiter bearbeitet werden. Wir benutzen zur Visualisierung den FPGA Editor. Mit dem Simulationsmodell und den Zeitinformationen kann noch vor dem Einsatz in einem größeren System die Funktion des Schaltkreises in der zeitbehafteten Simulation überprüft werden. Das eigentliche Ergebnis des gesamten Prozesses ist jedoch die Konfigurationsdatei. Diese Konfigurationsdatei wird in dem FPGA gespeichert. Durch diese Konfiguration erhält der Schaltkreis seine durch den Entwurf bestimmte Funktion Zeitbehaftete Simulation Die zeitbehaftete Simulation erfolgt wie die funktionale Simulation und gibt Auskunft über das letztendlich korrekte zeitliche Verhalten der zu lösenden Aufgabe. In diesem Abschnitt wird nur auf Unterschiede zur funktionalen Simulation hingewiesen. Das Simulationsmodell ist jetzt ein Modell des realen Schaltkreises (vgl. Abbildung 1: Ablauf). Dieses Modell setzt sich wiederum aus Simulationsmodellen der elektrischen Einheiten des Schaltkreises, u.a. den Logikblöcken, und den Verbindungen zusammen (vgl. VHDL- Hierarchieebenen). Die Schaltkreiskomponenten-Bibliothek SIMPRIM beinhaltet diese Einheiten und muss in der Initialisierungsdatei synopsys_sim.setup für die zeitbehaftete Simulation angegeben werden. Die Elemente dieser Bibliothek werden von der funktionalen Simulation nicht benutzt. Die Zeitinformationen befinden sich nicht in dem VHDL Simulationsmodell, sondern in einer sepa- 4

5 raten Datei im Standard Delay Format (SDF). Diese Datei wird dem Simulator zusammen mit der Hierarchieebene, die sie beschreibt, angegeben. Bei der Simulation der realen Schaltkreises wird die Lage der einzelnen Komponenten und deren Verbindungen berücksichtig. Da die Komponenten in dem FPGA an verschiedenen Orten platziert wurden, sind auch die Verbindungen zwischen den Komponenten und insbesondere zu den Schaltkreisanschlüssen unterschiedlich lang. Die Auswirkungen ist eine unterschiedliche Laufzeiten der Signale. Bemerkbar wird dies vor allem, wenn ein Signal aus mehreren Bit besteht. Wie die funktionale Simulation wird die zeitbehaftete in einer Testumgebung durchgeführt, die eine größere Schaltung oder auch Anzeige- und Eingabeelemente darstellen kann. Der Vorteil ist u.a., dass gezielt interessierende und kritische Zustände für die Schaltung erzeugt werden können, was in realen Schaltungen nicht immer einfach ist. Weil wir es hier mit dem Modell eines realen Schaltkreises zu tun haben, muss die Testumgebung an dieses angepasst werden. Zum einen dürfen an einen realen Schaltkreis keine variablen Größen, wie Generics übergeben werden. Zum anderen benötigt ein Schaltkreis eine gewisse Zeit zur Initialisierung seiner inneren Zustände. Das bedeutet, dass bei unserem Simulationsmodell 100 ns vergehen, bevor die angelegten Signale richtig verarbeitet werden. Die Simulation auch von internen Signalen stellt einen bedeutenden Vorteil gegenüber der realen Erprobung dar, denn bei der realen Testschaltung kann man nicht in den Schaltkreis hinein sehen Latch Flipflops haben Sie in anderen Lehrveranstaltungen bereits kennen gelernt. Gegenstand des experimentellen Hardware Projekts ist ein RISC Prozessor. Im Datenpfad dieses Prozessors werden an mehreren Stellen D-Latches verwendet. Ein D-Latch (Abbildung 2) ist ein zustandsgesteuertes D- Flipflop. Zustandsgesteuert bedeutet, dass nicht die Taktflanke Daten vom Eingang an den Ausgang übernimmt, sondern während am Takt der logische Pegel 1 anliegt. Das ist der Abbildung 2: D-Latch Transparente Zustand. Der Speicher Zustand wird erreicht, wenn der Takt den logischen 0 Wert annimmt. Darüber hinaus hat das hier verwendete 4 Bit D-Latch zwei weitere Eingänge: Takt- und Ausgangsfreigabe. Nur wenn an der Taktfreigabe der logische 1-Pegel liegt, wirkt die oben beschriebene Funktion des Taktes. Sonst wird der letzte Wert der Daten beibehalten (gespeichert). Die Ausgangsfreigabe ist low aktiv und bewirkt die Ausgabe der Daten, wenn sie den logischen Wert 0 hat. Sonst nehmen die Ausgänge den Tri-state-Zustand Z an. Im Tri-state- Zustand sind die Ausgänge hochohmig. Dieses Verhalten wird benötigt, wenn mehrere Ausgänge zusammengeschaltet werden, wie das bei Bussen Anwendung findet. 3. Durchführung An einem PC mit einem X Window System erhalten Sie ein Terminal Fenster zu einem Unix- Server in einer Solaris 2.10 Unix-Umgebung. Vor der Benutzung muss die Betriebssystemumgebung auf die CAE-Werkzeuge durch folgenden Befehl eingestellt werden: a1 -i Bitte haben Sie etwas Geduld, wenn ein Fenster nicht sofort auf dem Bildschirm erscheint. Sie arbeiten in einer Client-Server Umgebung mit Security Shell, deren Reaktionszeit größer als am heimischen PC ist. Nach der Einstellung der Projektumgebung ist das Arbeitsverzeichnis des Terminal Fensters bereits richtig eingestellt. 5

6 In diesem Verzeichnis befinden sich alle Dateien, die Sie benötigen. Das sind: *.vhd # die VHDL Quellen tb.vhd # die Testumgebung xc3s700an.ucf # Vorgaben für die Implementierung, Anordnung der Anschlüsse synopsys_sim.setup # Initialisierungsdatei für Synopsys Für alle Arbeitsschritte bis zum Programmieren des Schaltkreises stehen Ihnen Shell-Skripte zur Verfügung: an.sh: Analyse der Testumgebung tb.vhd fsim.sh: funktionelle Simulation imp.sh: Synthese und Implementierung tsim.sh: zeitbehaftete Simulation Außerdem gibt es noch einige Kommandodateien (.cmd,.tcl), die die verwendeten Werkzeuge im Detail steuern. Diese Dateien sind einfache Textdateien. Sie sollten von Ihnen nicht bearbeitet werden. Diese Skripte werden durch den Unix-Befehl source oder "." ausgeführt: source <skriptname> Zum Beispiel:. fsim.sh Die Testumgebung tb.vhd werden Sie bearbeiten müssen. Sie kann mit jedem Texteditor (emacs, joe, nano, pico, mcedit, nedit (nur Server), gedit, vi, vim o.a.) editiert werden. Folgende Verzeichnisstruktur sollte im Laufe der Bearbeitung entstehen: ~/a1/: Quelldateien (vgl. oben) fsim: funktionelle Simulation syn: Synthese xilinx: Implementation tsim: Zeitsimulation Ein lokales Fenster dient zum Test mit dem Experimentalsystem [3]. Hier stehen die Befehle konf und tst zur Verfügung (vgl 3.4. Erprobung). Falls das Fenster für die CAE-Werkzeuge geschlossen wurde, Sie aber weiter arbeiten möchten, muss die CAE-Umgebung durch Eingabe des folgenden Befehls wieder hergestellt werden: a1 -u Ihre Druckaufträge werden normalerweise an den Drucker "npr2" im Übungsraum geschickt. Text- und Postscript-Dateien können mit dem Befehl "lp <Dateiname>" gedruckt werden. Am Ende des Versuches melden Sie sich bitte an Ihrem Arbeitsplatz ab Funktionale Simulation Für die funktionale Simulation steht Ihnen das Skript fsim.sh zur Verfügung. Es führt folgende Aufgaben aus: Es löscht das Verzeichnis fsim und legt es neu an sowie ein Unterverzeichnis work Kopiert die Initialisierungsdatei Analysiert die benötigten VHDL-Dateien Erzeugt das Simulationsmodell und führt es mit einigen Simulationsbefehlen aus Öffnet ein Fenster zum Betrachten der Simulationsergebnisse. Nach Änderungen der Testumgebung muss sie analysiert und erneut die Simulation durchgeführt werden. Für die Analyse ohne Simulation steht Ihnen das Skript an.sh zur Verfügung. Es eignet sich, um nach Änderungen der Testumgebung einen korrekten Syntax sicher zu stellen. 6

7 Die Signalverläufe können vergrößert und verkleinert werden über das Menü View-Zoom oder durch die Tastenkürzel: f: gesamter Signalverlauf (Full) i: Vergrößerung (Zoom In) o: Verkleinerung (Zoom Out) Strg-q: Vergrößerung auf die Zeit zwischen den Markierungslinien Die Markierungslinie C1 kann mit der linken Maustaste und die Markierungslinie C2 mit der mittleren Maustaste positioniert werden. Neben der Markierungslinie C2 sind die Zeit im Signalverlauf und der zeitliche Abstand zur Markierungslinie C1 angegeben. Durch die Positionierung der zwei Markierungslinien können Sie Zeitmessungen durchführen. Über den Menüpunkt File Print können Sie aussagekräftige Signalverläufe als Postscriptoder Bilddatei drucken. Diese Datei befindet sich in Verzeichnis für die Simulation (z.b. fsim) und kann mit dem Befehl lp <dateiname> ausgedruckt werden. Wichtig ist, dass alle Signalwerte im Ausdruck gut zu sehen sind. Folgende Einstellungen dienen zur Orientierung: o Postscript oder Image o Width: o Begin und End Time: 0 und 420,000 o Orientation: Landscape 3.2. Synthese und Implementierung Für die Synthese und die Implementierung steht Ihnen das Skript imp.sh zur Verfügung. Es führt folgende Aufgaben aus: Es erzeugt ein neue Verzeichnisse für die Synthese und Implementierung Es synthetisiert den Entwurf und exportiert eine Netzliste fpga.edf Es importiert die Netzliste und liest die Implementierungs-Vorgaben aus der Datei xc3s700an.ucf Platzierung der Logikblöcke, Herstellung der Verbindungen Export des Simulationsmodells, von Signallaufzeiten und der Konfigurations-Datei fpga.bit Start des Visualisierungs-Werkzeugs FPGA-Editor Abbildung 3: FPGA-Editor 7

8 Der FPGA-Editor (Abbildung 3) hat 3 Fenster: List: Listet tabellarisch die Komponenten und Verbindungen Array: Stellt die Komponenten und Verbindungen des FPGA dar. World: Markiert den Ausschnitt, der im Array-Fenster sichtbar ist und aktivierte Komponenten Mit Hilfe des List -Fensters können Sie die Anzahl, den Typ und die Ortsbezeichnung (Site) der Komponenten bestimmen. Hier können Sie auch eine Komponente auswählen und damit diese im Array -Fenster rot markieren. Benutzen Sie aus dem Menü View die Zoom-Befehle oder Funktionstasten F6 bis F11. Durch Betätigung des Symbols kann die Anzeige der benutzten Verbindungen ein und aus geschaltet werden. Außerdem kann die innere Schaltung einer ausgewählten Komponente durch Betätigung der Schaltfläche editblock am rechten Rand oder des Symbols in einem Block -Fenster betrachtet werden. Benutze Verbindungen werden durch die Farbe Cyan markiert und die Farbe Magenta markiert unbenutzte. Ein PAD ist die Bezeichnung für einen Schaltkreisanschluss Zeitbehaftete Simulation Für die zeitbehaftete Simulation steht Ihnen das Skript tsim.sh zur Verfügung. Dieses Skript erledigt ähnliche Aufgaben, wie bei der funktionalen Simulation. Es gibt jedoch auch einige Unterschiede: Es analysiert nicht den Entwurf, sondern die bei der Implementierung entstandene VHDL-Beschreibung des FPGA. Die bei der Implementierung berechneten Zeitinformationen aus der Datei fpga.sdf werden für die Simulation eingebunden Erprobung Für die Erprobung steht Ihnen das FPGA Experimentalsystem [3] zur Verfügung. Ein Überblick über die Struktur des Experimentalsystems wird im Abschnitt 2. und mit der Abb. 2.2 gegeben. In dem Projekt A1 werden lediglich der FPGA und eine Reihe von 8 LEDs rechts neben dem LCD-Display benötigt. Die 8 LEDs sind direkt mit dem FPGA verbunden. Der FPGA wird über einen Mikrokontroller an den lokalen PC angeschlossen. Die Testprogramme werden auf dem PC, an dem Sie arbeiten, ausgeführt und nicht in dem Fenster vom Lehrstuhlserver. Das Programm konf xilinx/fpga.bit konfiguriert den FPGA des Experimentalsystems mit der Konfigurationsdatei der Schaltung. Die Konfigurationsdaten befinden sich in dem Verzeichnis für die Implementierung. Mit dem Programm tst werden Signalwerte an die Eingabeanschlüsse des FPGA gelegt. Für die Signale Takt (CLK), Taktfreigabe (CLK_EN), Ausgabefreigabe (OU_EN) und die binären Daten (DATA_IN) können Eingaben gemacht werden. Der Wert für das Signal DATA_IN kann durch den Befehl wd als hexadezimalem Ziffer (0 bis f) eingegeben werden. 8

9 Folgende Befehle stehen zur Verfügung: sck - CLK = "1" rck - CLK = "0" sce - CLK_EN = "1" rce - CLK_EN = "0" soe - OUT_EN = "1" roe - OUT_EN = "0" wd - Eingabe von DATA_IN: 0x? h - Hilfe q - beendet Programm Die Eingaben durch das Testprogramm können Sie am Bildschirm verfolgen. Am Experimentalsystem ist die Wirkung der Eingaben nur durch die Anzeige des Ausgangssignals an4 LEDs zu beobachten. 4. Aufgabenstellung Studieren Sie die Versuchsanleitung und machen Sie sich mit den Arbeitsschritten vom Entwurf bis zum fertigen Schaltkreis einer Funktionseinheit vertraut. Beschäftigen Sie sich mit der Funktion eines D-Latch (taktzustandsgesteuertes D-Flipflop). Simulieren, synthetisieren und implementieren Sie den vom Betreuer vorgegebenen VHDL- Entwurf dlatch.vhd für ein 4 Bit D-Latch mit Takt-Freigabe und Tri-State-Steuerung. Erkunden und Protokollieren Sie die Funktion des zustandsgesteuerten Flipflops im konfigurierten FPGA Funktionale Simulation 1. Simulieren Sie die Eingaben aus der Testumgebung. 2. Bestimmen Sie die Ein- und Ausgangssignale und ihre Funktion. 3. Stellen Sie eine Wahrheitswertetabelle auf. Welche Wirkung haben die Signale CLK, CLK_EN, OUT_EN? Unter welchen Bedingungen gelangen die Daten vom Eingang an den Ausgang, werden die Ausgabe-Daten gleich gehalten, erfolgt keine Ausgabe von Daten? Was bedeutet keine Ausgabe von Daten? 4. Erweitern Sie die Testumgebung. Demonstrieren Sie Wahrheitswertetabelle mit mindestens 4 Funktionen des Latch. 5. Drucken Sie die Simulation von mindestens 4 Funktionen aus. 6. Messen Sie Verzögerungszeiten. 1. Zwischen CLK=1 und DATA_OUT. 2. Zwischen DATA_IN und DATA_OUT. 3. Zwischen OUT_EN und DATA_OUT Synthese und Implementierung 1. Synthetisieren und implementieren Sie den Entwurf. 2. Welche Komponenten (z.b. IOB) und wie viele von jeder Sorte wurden belegt? Nennen Sie von jedem Typ der benutzen Komponenten beispielhaft eine Ortsbezeichnung (Site z.b. CLB_X42Y61). 3. Sehen Sie sich einen Slice an. Welche Signale werden von beiden Latches benutzt? 4. Sehen Sie sich einen IOB-Block an. Wie werden die Signale hier verwendet? 5. Welches sind die drei wichtigsten Ergebnisdateien und wofür werden sie benötigt? 9

10 4.3. Zeitsimulation 1. Simulieren Sie die Eingaben aus der erweiterten Testumgebung (Aufgabe 4.1.4). 2. Drucken Sie die Simulation aus. 3. Messen Sie die Verzögerungen aus der Aufgabe Vergrößern Sie die zeitliche Darstellung der Signale an einem Übergang zwischen zwei Werten der Ausgabe-Signale. Was beobachten Sie? Wie kann das Verhalten erklärt werden? 5. Nennen sie im zeitlichen Verlauf zwei Unterschiede zur funktionalen Simulation Erprobung der Experimentalschaltung 1. Konfigurieren Sie das FPGA-Experimentalsystem [3]. 2. Legen Sie dieselben Signalwerte, wie sie in der Testumgebung benutzt werden, an den Schaltkreis. Überlegen Sie sich, wie Sie die Erprobung nachvollziehbar protokollieren können. 3. Experimentieren Sie mit anderen Signalfolgen. Beobachten und protokollieren Sie die Eingaben und die Anzeige nachvollziehbar. Welche Eingabefolgen führen für Sie zu einer überraschenden Anzeige? 5. Literatur 1. Schaltungsdesign mit VHDL: Synthese, Simulation und Dokumentation digitaler Schaltungen; Gunther Lehman, Bernhard Wunder, Manfred Selz; Poing, Franzis Das VHDL-Informationsmedium der FH Köln: VHDL-easy 3. Reinsch, A.: Der DLXJ RISC-Prozessor. Architektur, Implementierung, Test. FSU Jena, Institut für Informatik, LS Rechnerarchitektur, März Xilinx Spartan-3AN FPGA Family Data Sheet, v3.2 - Product Specification, Folien zu VHDL, D. Neuhäuser (W. Koch), PDF, Stand: Jan Abkürzungen und Vereinbarungen CLB - Configurable Logic Block, Logikzellen der FPGA von Xilinx FPGA Field Programmable Gate Array, Programmierbarer Schaltkreis UUT unit under test Bezeichnung für die Zuordnung der Schnittstellen Signale der zu testende Schaltung zu den lokalen Signalen (Instanz) VHDL VHSIC (Very High Speed Integrated Circuit) Hardware Description Language, standardisiert durch IEEE

Experimentelle Hardwareprojekte. Projekt A-2: Befehlszähler eines RISC-Prozessors. Volker Dörsing 20. März 2014

Experimentelle Hardwareprojekte. Projekt A-2: Befehlszähler eines RISC-Prozessors. Volker Dörsing 20. März 2014 Friedrich Schiller Universität Jena Rechnerarchitktur und Advanced Computing Experimentelle Hardwareprojekte Projekt A-2: Befehlszähler eines RISC-Prozessors Volker Dörsing 20. März 2014 Inhaltsverzeichnis

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 -

Matrix42. Use Case - Sicherung und Rücksicherung persönlicher Einstellungen über Personal Backup. Version 1.0.0. 23. September 2015 - 1 - Matrix42 Use Case - Sicherung und Rücksicherung persönlicher Version 1.0.0 23. September 2015-1 - Inhaltsverzeichnis 1 Einleitung 3 1.1 Beschreibung 3 1.2 Vorbereitung 3 1.3 Ziel 3 2 Use Case 4-2 - 1 Einleitung

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Informatik Kurs Simulation. Hilfe für den Consideo Modeler

Informatik Kurs Simulation. Hilfe für den Consideo Modeler Hilfe für den Consideo Modeler Consideo stellt Schulen den Modeler kostenlos zur Verfügung. Wenden Sie sich an: http://consideo-modeler.de/ Der Modeler ist ein Werkzeug, das nicht für schulische Zwecke

Mehr

Wie halte ich Ordnung auf meiner Festplatte?

Wie halte ich Ordnung auf meiner Festplatte? Wie halte ich Ordnung auf meiner Festplatte? Was hältst du von folgender Ordnung? Du hast zu Hause einen Schrank. Alles was dir im Wege ist, Zeitungen, Briefe, schmutzige Wäsche, Essensreste, Küchenabfälle,

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

GEVITAS Farben-Reaktionstest

GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest GEVITAS Farben-Reaktionstest Inhalt 1. Allgemeines... 1 2. Funktionsweise der Tests... 2 3. Die Ruhetaste und die Auslösetaste... 2 4. Starten der App Hauptmenü... 3 5. Auswahl

Mehr

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7

FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 FuxMedia Programm im Netzwerk einrichten am Beispiel von Windows 7 Die Installation der FuxMedia Software erfolgt erst NACH Einrichtung des Netzlaufwerks! Menüleiste einblenden, falls nicht vorhanden Die

Mehr

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014)

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014) Handbuch NAFI Online-Spezial 1. Auflage (Stand: 24.09.2014) Copyright 2016 by NAFI GmbH Unerlaubte Vervielfältigungen sind untersagt! Inhaltsangabe Einleitung... 3 Kundenauswahl... 3 Kunde hinzufügen...

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten 2008 netcadservice GmbH netcadservice GmbH Augustinerstraße 3 D-83395 Freilassing Dieses Programm ist urheberrechtlich geschützt. Eine Weitergabe

Mehr

Mediator 9 - Lernprogramm

Mediator 9 - Lernprogramm Mediator 9 - Lernprogramm Ein Lernprogramm mit Mediator erstellen Mediator 9 bietet viele Möglichkeiten, CBT-Module (Computer Based Training = Computerunterstütztes Lernen) zu erstellen, z. B. Drag & Drop

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen?

Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen? Anleitung für Evident Seite 1 Anleitung für Evident-Anwender: Einbinden der MIZ-Dokumente in Evident. Wo möchten Sie die MIZ-Dokumente (aufbereitete Medikamentenlisten) einsehen? Zunächst müssen Sie entscheiden,

Mehr

Anwendungshinweise zur Anwendung der Soziometrie

Anwendungshinweise zur Anwendung der Soziometrie Anwendungshinweise zur Anwendung der Soziometrie Einführung Die Soziometrie ist ein Verfahren, welches sich besonders gut dafür eignet, Beziehungen zwischen Mitgliedern einer Gruppe darzustellen. Das Verfahren

Mehr

Wie Sie mit Mastern arbeiten

Wie Sie mit Mastern arbeiten Wie Sie mit Mastern arbeiten Was ist ein Master? Einer der großen Vorteile von EDV besteht darin, dass Ihnen der Rechner Arbeit abnimmt. Diesen Vorteil sollten sie nutzen, wo immer es geht. In PowerPoint

Mehr

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3

Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 Handbuch Fischertechnik-Einzelteiltabelle V3.7.3 von Markus Mack Stand: Samstag, 17. April 2004 Inhaltsverzeichnis 1. Systemvorraussetzungen...3 2. Installation und Start...3 3. Anpassen der Tabelle...3

Mehr

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15 Historical Viewer zu ETC5000 Benutzerhandbuch 312/15 Inhaltsverzeichnis 1 Allgemeine Hinweise... 3 1.1 Dokumentation...3 2 Installation... 3 3 Exportieren der Logdatei aus dem ETC 5000... 3 4 Anlegen eines

Mehr

Erstellen eines Wordpress-Blogs

Erstellen eines Wordpress-Blogs Erstellen eines Wordpress-Blogs Inhalt 1 Einen Wordpress-Blog erstellen... 3 2 Wordpress konfigurieren... 5 2.1 Wordpress-Anmeldung... 5 2.2 Sprache einstellen... 7 2.3 Einen neuen Artikel verfassen...

Mehr

Flyer, Sharepics usw. mit LibreOffice oder OpenOffice erstellen

Flyer, Sharepics usw. mit LibreOffice oder OpenOffice erstellen Flyer, Sharepics usw. mit LibreOffice oder OpenOffice erstellen Wir wollen, dass ihr einfach für eure Ideen und Vorschläge werben könnt. Egal ob in ausgedruckten Flyern, oder in sozialen Netzwerken und

Mehr

Anbindung des Onyx Editors 2.5.1 an das Lernmanagementsystem OLAT Anwendungsdokumentation

Anbindung des Onyx Editors 2.5.1 an das Lernmanagementsystem OLAT Anwendungsdokumentation Anbindung des Onyx Editors 2.5.1 an das Lernmanagementsystem OLAT Anwendungsdokumentation Überblick...2 Konfiguration der OLAT Anbindung...3 Verbindungsaufbau...4 Auschecken von Lernressourcen...5 Einchecken

Mehr

Elexis-BlueEvidence-Connector

Elexis-BlueEvidence-Connector Elexis-BlueEvidence-Connector Gerry Weirich 26. Oktober 2012 1 Einführung Dieses Plugin dient dazu, den Status Hausarztpatient zwischen der BlueEvidence- Anwendung und Elexis abzugleichen. Das Plugin markiert

Mehr

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH

MORE Profile. Pass- und Lizenzverwaltungssystem. Stand: 19.02.2014 MORE Projects GmbH MORE Profile Pass- und Lizenzverwaltungssystem erstellt von: Thorsten Schumann erreichbar unter: thorsten.schumann@more-projects.de Stand: MORE Projects GmbH Einführung Die in More Profile integrierte

Mehr

Windows. Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1

Windows. Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1 Workshop Internet-Explorer: Arbeiten mit Favoriten, Teil 1 Wenn der Name nicht gerade www.buch.de oder www.bmw.de heißt, sind Internetadressen oft schwer zu merken Deshalb ist es sinnvoll, die Adressen

Mehr

Microsoft Access 2010 Navigationsformular (Musterlösung)

Microsoft Access 2010 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2010 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2010) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

Speicher in der Cloud

Speicher in der Cloud Speicher in der Cloud Kostenbremse, Sicherheitsrisiko oder Basis für die unternehmensweite Kollaboration? von Cornelius Höchel-Winter 2013 ComConsult Research GmbH, Aachen 3 SYNCHRONISATION TEUFELSZEUG

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

1. Aktionen-Palette durch "Fenster /Aktionen ALT+F9" öffnen. 2. Anlegen eines neuen Set über "Neues Set..." (über das kleine Dreieck zu erreichen)

1. Aktionen-Palette durch Fenster /Aktionen ALT+F9 öffnen. 2. Anlegen eines neuen Set über Neues Set... (über das kleine Dreieck zu erreichen) Tipp: Aktionen (c) 2005 Thomas Stölting, Stand: 25.4. 2005 In Photoshop werden häufig immer wieder die gleichen Befehlssequenzen benötigt. Um sie nicht jedesmal manuell neu eingeben zu müssen, können diese

Mehr

Zwischenablage (Bilder, Texte,...)

Zwischenablage (Bilder, Texte,...) Zwischenablage was ist das? Informationen über. die Bedeutung der Windows-Zwischenablage Kopieren und Einfügen mit der Zwischenablage Vermeiden von Fehlern beim Arbeiten mit der Zwischenablage Bei diesen

Mehr

Verwalten und Organisieren von Fotos,

Verwalten und Organisieren von Fotos, Verwalten und Organisieren von Fotos, Datensicherung auf einen externen Datenträger durchführen, Datensicherung auf externe Datenträger - Datensicherheit Grundsätze 02 - Sicherungsmethode / FreeCommander

Mehr

LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH

LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH MATESO GmbH Daimlerstraße 7 86368 Gersthofen www.mateso.de Dieses Dokument beschreibt die Konfiguration

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Mandant in den einzelnen Anwendungen löschen

Mandant in den einzelnen Anwendungen löschen Mandant in den einzelnen Anwendungen löschen Bereich: ALLGEMEIN - Info für Anwender Nr. 6056 Inhaltsverzeichnis 1. Allgemein 2. FIBU/ANLAG/ZAHLUNG/BILANZ/LOHN/BELEGTRANSFER 3. DMS 4. STEUERN 5. FRISTEN

Mehr

Symbolbearbeitung mit EPLAN 5.60/5.70 DIC_***D.SYM

Symbolbearbeitung mit EPLAN 5.60/5.70 DIC_***D.SYM Symbolbearbeitung mit EPLAN 5.60/5.70 DIC_***D.SYM Beispielhaft anhand einer kopierten DIC_ESSD.SYM nach DIC_BGID.SYM werden hier die einzelnen Schritte der Symbolbearbeitung erklärt. Es ist generell empfehlenswert

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen

Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen Daten-Synchronisation zwischen dem ZDV-Webmailer und Outlook (2002-2007) Zentrum für Datenverarbeitung der Universität Tübingen Inhalt 1. Die Funambol Software... 3 2. Download und Installation... 3 3.

Mehr

ecaros2 - Accountmanager

ecaros2 - Accountmanager ecaros2 - Accountmanager procar informatik AG 1 Stand: FS 09/2012 Inhaltsverzeichnis 1 Aufruf des ecaros2-accountmanager...3 2 Bedienung Accountmanager...4 procar informatik AG 2 Stand: FS 09/2012 1 Aufruf

Mehr

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden.

1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. Der Serienversand Was kann man mit der Maske Serienversand machen? 1. Adressen für den Serienversand (Briefe Katalogdruck Werbung/Anfrage ) auswählen. Die Auswahl kann gespeichert werden. 2. Adressen auswählen,

Mehr

Lieferschein Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering.

Lieferschein Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering. Lieferschein Lieferscheine Seite 1 Lieferscheine Seite 2 Inhaltsverzeichnis 1. STARTEN DER LIEFERSCHEINE 4 2. ARBEITEN MIT DEN LIEFERSCHEINEN 4 2.1 ERFASSEN EINES NEUEN LIEFERSCHEINS 5 2.1.1 TEXTFELD FÜR

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Animationen erstellen

Animationen erstellen Animationen erstellen Unter Animation wird hier das Erscheinen oder Bewegen von Objekten Texten und Bildern verstanden Dazu wird zunächst eine neue Folie erstellt : Einfügen/ Neue Folie... Das Layout Aufzählung

Mehr

Teaser-Bilder erstellen mit GIMP. Bildbearbeitung mit GIMP 1

Teaser-Bilder erstellen mit GIMP. Bildbearbeitung mit GIMP 1 Teaser-Bilder erstellen mit GIMP 08.08.2014 Bildbearbeitung mit GIMP 1 Auf den folgenden Seiten werden die wichtigsten Funktionen von GIMP gezeigt, welche zur Erstellung von Bildern für die Verwendung

Mehr

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über Güte von s Grundlegendes zum Konzept der Güte Ableitung der Gütefunktion des Gauss im Einstichprobenproblem Grafische Darstellung der Gütefunktionen des Gauss im Einstichprobenproblem Ableitung der Gütefunktion

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Einführung in TexMaker

Einführung in TexMaker Einführung in TexMaker 23. November 2007 TexMaker ist ein ist ein freier Texteditor für LA TE X-Dokumente unter Windows. Mit diesem Editor lassen sich ohne große Schwierigkeiten *.tex-dokumente aufrufen,

Mehr

Programme im Griff Was bringt Ihnen dieses Kapitel?

Programme im Griff Was bringt Ihnen dieses Kapitel? 3-8272-5838-3 Windows Me 2 Programme im Griff Was bringt Ihnen dieses Kapitel? Wenn Sie unter Windows arbeiten (z.b. einen Brief schreiben, etwas ausdrucken oder ein Fenster öffnen), steckt letztendlich

Mehr

S/W mit PhotoLine. Inhaltsverzeichnis. PhotoLine

S/W mit PhotoLine. Inhaltsverzeichnis. PhotoLine PhotoLine S/W mit PhotoLine Erstellt mit Version 16.11 Ich liebe Schwarzweiß-Bilder und schaue mir neidisch die Meisterwerke an, die andere Fotografen zustande bringen. Schon lange versuche ich, auch so

Mehr

Satzhilfen Publisher Seite Einrichten

Satzhilfen Publisher Seite Einrichten Satzhilfen Publisher Seite Einrichten Es gibt verschiedene Möglichkeiten die Seite einzurichten, wir fangen mit der normalen Version an, Seite einrichten auf Format A5 Wählen Sie zunächst Datei Seite einrichten,

Mehr

Zahlen auf einen Blick

Zahlen auf einen Blick Zahlen auf einen Blick Nicht ohne Grund heißt es: Ein Bild sagt mehr als 1000 Worte. Die meisten Menschen nehmen Informationen schneller auf und behalten diese eher, wenn sie als Schaubild dargeboten werden.

Mehr

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1 CMS.R. Bedienungsanleitung Modul Cron Revision 1 Copyright 10.09.2009 www.sruttloff.de CMS.R. - 1 - WOZU CRON...3 VERWENDUNG...3 EINSTELLUNGEN...5 TASK ERSTELLEN / BEARBEITEN...6 RECHTE...7 EREIGNISSE...7

Mehr

Kontakte Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering.

Kontakte Dorfstrasse 143 CH - 8802 Kilchberg Telefon 01 / 716 10 00 Telefax 01 / 716 10 05 info@hp-engineering.com www.hp-engineering. Kontakte Kontakte Seite 1 Kontakte Seite 2 Inhaltsverzeichnis 1. ALLGEMEINE INFORMATIONEN ZU DEN KONTAKTEN 4 2. WICHTIGE INFORMATIONEN ZUR BEDIENUNG VON CUMULUS 4 3. STAMMDATEN FÜR DIE KONTAKTE 4 4. ARBEITEN

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Produktschulung WinDachJournal

Produktschulung WinDachJournal Produktschulung WinDachJournal Codex GmbH Stand 2009 Inhaltsverzeichnis Einleitung... 3 Starten des Programms... 4 Erfassen von Notizen in WinJournal... 6 Einfügen von vorgefertigten Objekten in WinJournal...

Mehr

Pfötchenhoffung e.v. Tier Manager

Pfötchenhoffung e.v. Tier Manager Pfötchenhoffung e.v. Tier Manager A.Ennenbach 01.08.2015 Tier Manager Inhalt Administrationsbereich Tiere auflisten & suchen Tier hinzufügen Tier bearbeiten (Status ändern, etc.) Administrationsbereich

Mehr

Stepperfocuser 2.0 mit Bootloader

Stepperfocuser 2.0 mit Bootloader Stepperfocuser 2.0 mit Bootloader Info Für den Stepperfocuser 2.0 gibt es einen Bootloader. Dieser ermöglicht es, die Firmware zu aktualisieren ohne dass man ein spezielles Programmiergerät benötigt. Die

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

Nutzerhandbuch Zentrale Klassenverwaltung

Nutzerhandbuch Zentrale Klassenverwaltung Nutzerhandbuch Zentrale Klassenverwaltung Nutzerhandbuch Zentrale Klassenverwaltung...1 1. Allgemeines...2 2. Startseite...2 3. Posteingang...2 4. Klassenübersicht...3 4.1. Klassendetailansicht...4 4.2.

Mehr

Anleitung für die Formularbearbeitung

Anleitung für die Formularbearbeitung 1 Allgemeines Anleitung für die Formularbearbeitung Die hier hinterlegten Formulare sind mit der Version Adobe Acrobat 7.0 erstellt worden und im Adobe-PDF Format angelegt. Damit alle hinterlegten Funktionen

Mehr

Beitragseinzüge mit SPG-Verein - Anleitung -

Beitragseinzüge mit SPG-Verein - Anleitung - Sparkasse Hochsauerland Electronic Banking-Team Am Markt 4, 59929 Brilon email: banking@spk-hochsauerland.de Telefon 02961/793-145 Beitragseinzüge mit SPG-Verein - Anleitung - Inhaltsverzeichnis 1 Sicherstellen,

Mehr

Installation von Druckern auf dem ZOVAS-Notebook. 1. Der Drucker ist direkt mit dem Notebook verbunden

Installation von Druckern auf dem ZOVAS-Notebook. 1. Der Drucker ist direkt mit dem Notebook verbunden Installation von Druckern auf dem ZOVAS-Notebook Bei der Installation eines Druckers muss grundsätzlich unterschieden werden, ob der Drucker direkt am Notebook angeschlossen ist oder ob ein Drucker verwendet

Mehr

Bedienung des Web-Portales der Sportbergbetriebe

Bedienung des Web-Portales der Sportbergbetriebe Bedienung des Web-Portales der Sportbergbetriebe Allgemein Über dieses Web-Portal, können sich Tourismusbetriebe via Internet präsentieren, wobei jeder Betrieb seine Daten zu 100% selbst warten kann. Anfragen

Mehr

Handbuch für Redakteure

Handbuch für Redakteure Handbuch für Redakteure Erste Schritte... 1 Artikel erstellen... 2 Artikelinhalt bearbeiten... 3 Artikel bearbeiten... 3 Trennen der Druck- und der Online-Version.. 4 Grunddaten ändern... 5 Weitere Artikel-eigenschaften...

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Fallbeispiel: Eintragen einer Behandlung

Fallbeispiel: Eintragen einer Behandlung Fallbeispiel: Eintragen einer Behandlung Im ersten Beispiel gelernt, wie man einen Patienten aus der Datenbank aussucht oder falls er noch nicht in der Datenbank ist neu anlegt. Im dritten Beispiel haben

Mehr

MINDMAP. HANDREICHUNG (Stand: August 2013)

MINDMAP. HANDREICHUNG (Stand: August 2013) MINDMAP HANDREICHUNG (Stand: August 2013) Seite 2, Mindmap Inhalt Eine Mindmap anlegen... 3 Einstellungen für die Mindmap... 3 Inhalte in der Mindmap voranlegen... 4 Inhalte in der Mindmap anlegen... 5

Mehr

Hilfe Bearbeitung von Rahmenleistungsverzeichnissen

Hilfe Bearbeitung von Rahmenleistungsverzeichnissen Hilfe Bearbeitung von Rahmenleistungsverzeichnissen Allgemeine Hinweise Inhaltsverzeichnis 1 Allgemeine Hinweise... 3 1.1 Grundlagen...3 1.2 Erstellen und Bearbeiten eines Rahmen-Leistungsverzeichnisses...

Mehr

Datenexport aus JS - Software

Datenexport aus JS - Software Datenexport aus JS - Software Diese Programm-Option benötigen Sie um Kundendaten aus der JS-Software in andere Programme wie Word, Works oder Excel zu exportieren. Wählen Sie aus dem Programm-Menu unter

Mehr

Handbuch für Redakteure

Handbuch für Redakteure Handbuch für Redakteure Erste Schritte... 1 Artikel erstellen... 2 Artikelinhalt bearbeiten... 3 Artikel bearbeiten... 3 Grunddaten ändern... 5 Weitere Artikeleigenschaften... 5 Der WYSIWYG-Editor... 6

Mehr

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016

L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 L10N-Manager 3. Netzwerktreffen der Hochschulübersetzer/i nnen Mannheim 10. Mai 2016 Referentin: Dr. Kelly Neudorfer Universität Hohenheim Was wir jetzt besprechen werden ist eine Frage, mit denen viele

Mehr

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu])

Erstellen einer Collage. Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) 3.7 Erstellen einer Collage Zuerst ein leeres Dokument erzeugen, auf dem alle anderen Bilder zusammengefügt werden sollen (über [Datei] > [Neu]) Dann Größe des Dokuments festlegen beispielsweise A4 (weitere

Mehr

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers

Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Steve Murphy, Marc Schaeffers Ist Excel das richtige Tool für FMEA? Einleitung Wenn in einem Unternehmen FMEA eingeführt wird, fangen die meisten sofort damit an,

Mehr

Anwendertreffen 20./21. Juni

Anwendertreffen 20./21. Juni Anwendertreffen Verbindungsmittelachsen VBA Allgemein Die Verbindungsmittelachsen werden nun langsam erwachsen. Nach zwei Jahren Einführungszeit haben wir bereits viele Rückmeldungen mit Ergänzungswünschen

Mehr

Warenwirtschaft Handbuch - Administration. 2013 www.addware.de

Warenwirtschaft Handbuch - Administration. 2013 www.addware.de Warenwirtschaft Handbuch - Administration 2 Warenwirtschaft Inhaltsverzeichnis Vorwort 0 Teil I Administration 3 1 Datei... 4 2 Datenbank... 6 3 Warenwirtschaft... 12 Erste Schritte... 13 Benutzerverwaltung...

Mehr

HANDBUCH PHOENIX II - DOKUMENTENVERWALTUNG

HANDBUCH PHOENIX II - DOKUMENTENVERWALTUNG it4sport GmbH HANDBUCH PHOENIX II - DOKUMENTENVERWALTUNG Stand 10.07.2014 Version 2.0 1. INHALTSVERZEICHNIS 2. Abbildungsverzeichnis... 3 3. Dokumentenumfang... 4 4. Dokumente anzeigen... 5 4.1 Dokumente

Mehr

UpToNet Installationsanleitung Einzelplatz und Netzwerk

UpToNet Installationsanleitung Einzelplatz und Netzwerk UpToNet Installationsanleitung Einzelplatz und Netzwerk Installationsanleitung Einzelplatz 1 Installationsanleitung Einzelplatz Wenn Sie im Besitz der Einzelplatz-Version der UpToNet KiSOffice Software

Mehr

Jederzeit Ordnung halten

Jederzeit Ordnung halten Kapitel Jederzeit Ordnung halten 6 auf Ihrem Mac In diesem Buch war bereits einige Male vom Finder die Rede. Dieses Kapitel wird sich nun ausführlich diesem so wichtigen Programm widmen. Sie werden das

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Mit dem sogenannten Seriendruck können Etiketten und Briefe mit einer Adressdatei (z. B. Excel) verknüpft werden.

Mit dem sogenannten Seriendruck können Etiketten und Briefe mit einer Adressdatei (z. B. Excel) verknüpft werden. WORD 2010 Etiketten drucken Mit dem sogenannten Seriendruck können Etiketten und Briefe mit einer Adressdatei (z. B. Excel) verknüpft werden. Diese Anwendung erfolgt über die Registerkarte Sendungen 1

Mehr

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Workshop Software GmbH Siemensstr. 21 47533 Kleve 02821 / 731 20 02821 / 731 299 www.workshop-software.de Verfasser: SK info@workshop-software.de

Mehr

P&P Software - Adressexport an Outlook 05/29/16 14:44:26

P&P Software - Adressexport an Outlook 05/29/16 14:44:26 Adressexport an Outlook Wozu? Aus EASY können viele Daten im Excelformat ausgegeben werden. Diese Funktion kann zum Beispiel zum Export von Lieferantenadressen an Outlook genutzt werden. Hinweis Wir können

Mehr

Beweisbar sichere Verschlüsselung

Beweisbar sichere Verschlüsselung Beweisbar sichere Verschlüsselung ITS-Wahlpflichtvorlesung Dr. Bodo Möller Ruhr-Universität Bochum Horst-Görtz-Institut für IT-Sicherheit Lehrstuhl für Kommunikationssicherheit bmoeller@crypto.rub.de 6

Mehr

GalBIB. TSB - Titelstamm Bibliographie. Mit dieser Erweiterung können:

GalBIB. TSB - Titelstamm Bibliographie. Mit dieser Erweiterung können: GalBIB TSB - Titelstamm Bibliographie Mit dieser Erweiterung können: - CD's in den Titelstamm aufgenommen werden - Titel des Titelstamms im bibwin bibliographiert werden 1 Funktion...2 2 Installation...2

Mehr

Dokumentation zur Versendung der Statistik Daten

Dokumentation zur Versendung der Statistik Daten Dokumentation zur Versendung der Statistik Daten Achtung: gem. 57a KFG 1967 (i.d.f. der 28. Novelle) ist es seit dem 01. August 2007 verpflichtend, die Statistikdaten zur statistischen Auswertung Quartalsmäßig

Mehr

Doku zur Gebäudebrüter Datenbank

Doku zur Gebäudebrüter Datenbank Autor: Christina Baradari, christina.baradari@berlin.de, 0162 2008 114 Doku zur Gebäudebrüter Datenbank Team Web Programmierer: Rahim Baradari Inhaltsverzeichnis 1 Suchen nach Kartierungsdaten... 2 1.1

Mehr

Nutzung von GiS BasePac 8 im Netzwerk

Nutzung von GiS BasePac 8 im Netzwerk Allgemeines Grundsätzlich kann das GiS BasePac Programm in allen Netzwerken eingesetzt werden, die Verbindungen als Laufwerk zu lassen (alle WINDOWS Versionen). Die GiS Software unterstützt nur den Zugriff

Mehr

GEONET Anleitung für Web-Autoren

GEONET Anleitung für Web-Autoren GEONET Anleitung für Web-Autoren Alfred Wassermann Universität Bayreuth Alfred.Wassermann@uni-bayreuth.de 5. Mai 1999 Inhaltsverzeichnis 1 Technische Voraussetzungen 1 2 JAVA-Programme in HTML-Seiten verwenden

Mehr

Stammdatenanlage über den Einrichtungsassistenten

Stammdatenanlage über den Einrichtungsassistenten Stammdatenanlage über den Einrichtungsassistenten Schritt für Schritt zur fertig eingerichteten Hotelverwaltung mit dem Einrichtungsassistenten Bitte bereiten Sie sich, bevor Sie starten, mit der Checkliste

Mehr

Anwender-Dokumentation. Update ATOSS WINDOWS. Versionswechsel innerhalb der V2.5

Anwender-Dokumentation. Update ATOSS WINDOWS. Versionswechsel innerhalb der V2.5 Anwender-Dokumentation Update ATOSS WINDOWS Versionswechsel innerhalb der V2.5 Allgemein Diese Dokumentation beschreibt das Aktualisieren eines Windows-ATOSS-Servers auf die Version 2.5 vom 01.Juli 2011.

Mehr

Wireless LAN PCMCIA Adapter Installationsanleitung

Wireless LAN PCMCIA Adapter Installationsanleitung Wireless LAN PCMCIA Adapter Installationsanleitung Diese Anleitung hilft Ihnen bei der Installation des Funknetzwerkadapters für Ihre PCMCIA Schnittstelle und erläutert in wenigen Schritten, wie Sie den

Mehr

Wie Sie mit einer Website tausend Geräte bespielen und das auch tun sollten

Wie Sie mit einer Website tausend Geräte bespielen und das auch tun sollten Wie Sie mit einer Website tausend Geräte bespielen und das auch tun sollten Informationen, Zahlen und Beispiele über Responsive Webdesign von artundweise GmbH Dirk Beckmann dirk.beckmann@artundweise.de

Mehr

Das Modul ARTIKEL-BARCODE ermöglicht den Druck von Barcode-Etiketten der EAN-Codes 8 und 13.

Das Modul ARTIKEL-BARCODE ermöglicht den Druck von Barcode-Etiketten der EAN-Codes 8 und 13. FAKTURA Artikel-Barcode 1 Modul ARTIKEL-BARCODE Das Modul ARTIKEL-BARCODE ermöglicht den Druck von Barcode-Etiketten der EAN-Codes 8 und 13. Es können Etiketten aller gängigen Standard-Größen verwendet

Mehr