Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums

Größe: px
Ab Seite anzeigen:

Download "Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums"

Transkript

1 Studienarbeit Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums Gliederung des Vortrages Einführung Warum diese Studienarbeit? Simulation analoger Schaltungen: Workview und PSpice Workview und PSpice: Fähigkeiten Zusammenwirken Eigene Modelle und Symbole Auswertung der Simulationen Simulation digitaler Schaltungen: Modellierung mit VHDL Abschluß Warum VHDL? Modelle mit VIEWlogic-VHDL Simulation und Synthese Ausbeute dieser Studienarbeit Anregungen Bearbeiter: Robert Henke Folie 1 Betreuer: Dipl. Ing. D. Peer B.S.E.E. C. Hovenga

2 NF-Verstärker als Workview-Schaltbild NF-Verstärker als PSpice-Beschreibung * Project NFSTUFEX * WorkVIEW Wirelist Created with Version 3.11 CE N UF RL UA 0 100K RG UG UE 10K C0 UE N84 360NF R3 N44 N84 1.4MEG V43 N44 0 DC 15V R4 N K Q1 N36 N84 N31 Q2N2222 R5 N44 N36 40K VIN UG 0 SIN ( 0V 100MV 1KHZ ) R6 N K CA N36 UA 100NF.TRAN 100N 3MS.PROBE/CSDF * DICTIONARY 5 * $1N36 = N36 * $1N44 = N44 * $1N84 = N84 * $1N31 = N31 * GND = 0 * PROBES 3 * V([UG]) * V([UE]) * V([UA]).PRINT TRAN V([UG]) V([UE]) V([UA]).END Folie 2

3 Integration von Workview und PSpice Vorteile durch die Integration beider Programme Alle Informationen werden mit dem Schaltplan gespeichert, daher Konsistenz der Daten und der Dokumentation Schneller Zugriff auf Bauteileparameter Anschauliche Schaltungsbeschreibung Voller Zugriff auf SPICE-Bibliotheken und Modelle Alle Möglichkeiten von SPICE sind von der graphischen Workview-Oberfläche aus nutzbar Bessere Analysemöglichkeiten durch VIEWtrace Schaltplan kann gleichzeitig, ohne Mehrarbeit für andere Programme genutzt werden: Stücklistengenerator, Platinenlayout-Programm Bequemere Bedienung durch Makros und Projektmanagement, eigene Menüs Mixed-Mode Simulation auf Workstations Nachteil Kosten und Lernaufwand für zwei Systeme Folie 3

4 Der Informationsfluß bei der Analogentwicklung Folie 4

5 WSPICE, der Netzlistengenerator: Übersetzer von Workview nach Spice: Symbol in Workview Modell für PSPICE.subckt RELAY Spule1 Spule2 Schalt Ruhe Gemein + PARAMS: Ron=1e-2 Roff=1e7 Von=7 Voff=6.5 Rsp=100 + Lsp=100uH * Ruhekontakt.model RKontakt VSWITCH (Ron={Ron} Roff={Roff} Von={Von} Voff={Voff}) * Schaltkontakt.model SKontakt VSWITCH (Ron={Roff} Roff={Ron} Von={Von} Voff={Voff}) RSPULE SPULE1 1 {Rsp} LSPULE SPULE2 2 {Lsp} VSense 1 2 DC 0V Hklick 3 0 VSense 1 Rdummy Meg ERel 4 0 Value = {ABS(V(3)) * Rsp} SRuhe Gemein Ruhe 4 0 RKontakt SSchalt Gemein Schalt 4 0 SKontakt.ENDS Modelle schnell veränderbar Folie 5

6 Graphische Auswertung Oszilloskop (Transienten) Bodeplot Leistungs- Berechnung (RMS) Folie 6

7 VHDL Very High Speed Circuits Hardware Description Language Warum wird VHDL zur Modellierung eingesetzt? Leicht erlernbar, da wie eine moderne Programmiersprache (C, Modula) Neuer Standard zur Beschreibung digitaler Systeme IEEE Standard Modular Sehr flexibel in den Beschreibungsmöglichkeiten Technologieunabhängig, zukunftssicher Erweiterbar durch objektorientierte Methoden Unterstützt Simulation und Synthese Unterschiede von VIEWlogic-VHDL zum Standard Beschränkung auf digitale Schaltungen Hierarchie über den Schaltplan, nicht VHDL (jetzt geändert) z. T. andere Datentypen und Funktionen Fehlen wichtiger Sprachelemente Folie 7

8 Modellierung am Beispiel Volladdierer I Strukturelle, signalflußorientierte Beschreibung Schaltplan VHDL - Beschreibung SIGNAL tmp_sum, tmp_carry1, tmp_carry2 : VLBIT; BEGIN tmp_sum <= a XOR b AFTER xor_delay; tmp_carry1 <= a AND b AFTER and_delay; sum <= tmp_sum XOR cin AFTER xor_delay; tmp_carry2 <= tmp_sum AND cin AFTER and_delay; cout <= tmp_carry1 OR tmp_carry2 AFTER or_delay; Folie 8

9 Modellierung am Beispiel Volladdierer II Verhaltens - Beschreibung Wahrheitstabelle Cin A B SUM Cout Summe A+B+Cin Vereinfachung SUM Cout Summe A+B+Cin VHDL - Beschreibung VARIABLE i : INTEGER; CONSTANT table_sum : VLBIT_VECTOR (0 TO 3) := "0101"; CONSTANT table_cout : VLBIT_VECTOR (0 TO 3) := "0011"; BEGIN i := 0; IF a = '1' THEN i := 1; END IF; IF b = '1' THEN i := i + 1; END IF; IF cin = '1' THEN i := i + 1; END IF; sum <= table_sum(i) AFTER add_delay; cout <= table_cout(i) AFTER carry_delay; Folie 9

10 VHDL-Simulator VIEWSim Waveform-Editor VIEWwave Strukturelle, signalflußorientierte Beschreibung Verhaltens - Beschreibung Unterschiedliche Resultate durch unterschiedliche Modellierungen Gleiches logisches Verhalten Unterschiedliches Zeitverhalten Folie 10

11 Synthese-Werkzeug VHDL-Designer Schaltplangenerator VIEWgen Das Synthese-Werkzeug VHDL-Designer generiert direkt aus VHDL-Texten Schaltungen. ist ideal für die Synthese von Statemachines, Wahrheitstabellen, boolschen Gleichungen, Decodern. optimiert auf die jeweilige Ziel-Technologie. aber es versteht prinzipbedingt nur eine kleine Untermenge der VHDL-Syntax. stößt leicht an Kapazitätsgrenzen. bietet nur sehr wenige Eingriffsmöglichkeiten in den Syntheseablauf. Der Schaltplangenerator VIEWgen erzeugt aus beliebigen Netzlisten Schaltplan-Zeichnungen. erzeugt Zeichnungen, die nur schwer für Menschen durchschaubar sind. dient vor allem der Dokumentation. Folie 11

Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums

Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums LEHRSTUHL UND LABORATORIUM FÜR TECHNISCHE ELEKTRONIK Universität Erlangen-Nürnberg Vorstand: Prof. Dr.-Ing. D. Seitzer Studienarbeit Schaltungsentwurf mit VIEWlogic: Erstellung eines Praktikums Bearbeiter:

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Aktuelle Trends bei der Simulation mechatronischer Systeme

Aktuelle Trends bei der Simulation mechatronischer Systeme Hauptseminar Technische Informationssysteme Dresden,18.06.2009 Gliederung Einleitung Modellierungssprache MODELICA Trends bei der Simulation VHDL-AMS Projekt MODELISAR Zusammenfassung Folie 2 Einleitung

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Schaltungssimulation

Schaltungssimulation Simulation von digitalen Schaltungen und Netzlisten 6. Digitale Analysen 7. Netzlisten 6.1 Bauteile in PSpice 6.2 Hybrid-Schaltungen 6.3 Digitale Signalquellen 6.4 Digitale Simulationen (Schaltungen, Darstellung,

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

5 Simulation analoger Schaltungen

5 Simulation analoger Schaltungen Grundgebiete der Elektronik I, Bohn, Arndt 5.2-1 5 Simulation analoger Schaltungen 5.1 Aufgabe Bestimmung des Verhaltens analoger Schaltungen vor der teuren Umsetzung in Hardware. Werkzeuge: EDA-Tools

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Electronic Design Automation (EDA) Entwurfseingabe

Electronic Design Automation (EDA) Entwurfseingabe Electronic Design Automation (EDA) Entwurfseingabe Entwurfseingabe Systemebene...SystemC...SystemVerilog RT-Ebene...Verilog...VHDL Gatterebene...Gatternetzliste...Schematic Entry Elektrische Ebene/ Strukturelle

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Letzte Woche: Rückgekoppelte Schaltnetze!

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

Grundlagen der Schaltungssimulation

Grundlagen der Schaltungssimulation Einführung in PSpice und die Gleichgrößensimulation 3 Einführung in PSpice u. Gleichgrößensimulation 1. Grundlagen 2. Das Programm PSpice 3. DC-Simulationen 4. Beispiel für eine Übungsaufgabe 2 3.1 Grundlagen

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Vortrag beim ASIM-Fachgruppentreffen "Simulation Technischer Systeme am 11. März 2003 in Ulm Hans Gall, BAUSCH-GALL GmbH, München 21.03.2003

Mehr

Signalverteilung: Rechteck mit Mittelung über 2

Signalverteilung: Rechteck mit Mittelung über 2 Signalverteilung: Rechteck mit Mittelung über 2 Original-Signal Mittelwert aus 2.6.4.2-2 -1.5-1 -.5.5 1 1.5 2 Signalwert 1.8.6.4.2 Parameter: 1. Werte über 1sec Rauschen, rechteckverteilt 1 Intervalle

Mehr

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

expertlqdl verlag CAD-Systeme zum Leiterplattenentwurf Auswahl, Einführung, wirtschaftliche Anwendung und Integration von CAD/CAE-Systemen

expertlqdl verlag CAD-Systeme zum Leiterplattenentwurf Auswahl, Einführung, wirtschaftliche Anwendung und Integration von CAD/CAE-Systemen CAD-Systeme zum Leiterplattenentwurf und -layout Auswahl, Einführung, wirtschaftliche Anwendung und Integration von CAD/CAE-Systemen Prof. Dr.-Ing. Karl-Werner Jäger i Dipl.-Ing. Bernd Franke Dipl.-Ing.

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Einführung in CAE-Systeme

Einführung in CAE-Systeme Einführung in CAE-Systeme Dipl.-Ing. Mark Müller 1 Inhalt 1. Motivation für CAE-Werkzeuge 2. Modellierung technischer Prozesse 3. Übersicht über CAE-Simulationssysteme Kommerzielle Programme Freeware 4.

Mehr

Studienleistung im Fach Schaltungssimulation mit PSpice (PSP)

Studienleistung im Fach Schaltungssimulation mit PSpice (PSP) Fachbereich Ingenieurwissenschaften Wissenschaftliche Einrichtung Mechatronik Labor für Leistungselektronik und EMV Dipl.-Ing. Udo Schürmann Studienleistung im Fach Schaltungssimulation mit PSpice (PSP)

Mehr

DV Anwendungen WS 2013/14

DV Anwendungen WS 2013/14 Klausur DV Anwendungen WS 2013/14 Name: Vorname: Matrikelnr.: Account: Aufgabe 1 2 3 4 5 Summe Mögliche Punkte 10 10 10 10 10 50 Erreichte Punkte Note Hinweise: Klausurdauer: ca. 60 Minuten Erlaubte Hilfsmittel:

Mehr

Analoge CMOS-Schaltungen

Analoge CMOS-Schaltungen Analoge CMOS-Schaltungen PSPICE: Smoke zur Schaltungs-Stress-Analyse 22. Vorlesung Einführung 1. Vorlesung 8. Vorlesung: Inverter-Verstärker, einige Differenzverstärker, Miller-Verstärker 9. Vorlesung:

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Zentralübung

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Simulationssoftware. Inhalt. SPICE Simulation LTSPICE. Beispiele. Arno Gadola

Simulationssoftware. Inhalt. SPICE Simulation LTSPICE. Beispiele. Arno Gadola Simulationssoftware Inhalt SPICE Simulation LTSPICE Beispiele Arno Gadola PHY 250 Elektronik - 1 - SPICE SPICE = Simulation Program with Integrated Circuit Emphasis (1973) Nachfolger von CANCER (Computer

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Entwicklung eines. Energieverbrauchsmanagementsystems für Werkzeugmaschinen

Entwicklung eines. Energieverbrauchsmanagementsystems für Werkzeugmaschinen Gesamtstruktur der Forschergruppe ECOMATION Entwicklung eines Institut für Institut für Produktionsmanagement, Energieverbrauchsmanagementsystems Werkzeugmaschinen Technologie und Werkzeugmaschinen für

Mehr

Praktikum Schaltungen & Systeme

Praktikum Schaltungen & Systeme Fachhochschule Düsseldorf Fachbereich Elektrotechnik Praktikum Schaltungen & Systeme Versuch 1: Simulation eines Colpitts-Oszillators mit ADS Prof. Dr. P. Pogatzki Dipl.-Ing. D. Spengler Name: Matr.-Nr.:

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung seit 60 Jahren! In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Produktion und Fahrzeugtechnik Tribologie

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

EDADBManager - PSpice Import. Volker Boos (volker.boos@imms.de)

EDADBManager - PSpice Import. Volker Boos (volker.boos@imms.de) EDADBManager - PSpice Import Volker Boos (volker.boos@imms.de) Systemvoraussetzungen Im Verzeichnis, wo der EDADBManager installiert ist, muss im Unterverzeichnis plugins die Datei PSpiceImport.jar exisitieren.

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

PSpice Simulation mit ABM

PSpice Simulation mit ABM Hochschule für Technik und Architektur Bern 1 Elektronik I Übung Computersimulation PSpice Simulation mit ABM Umfeld PSpice erlaubt die Definition analoger Funktionsblöcke durch Übertragungsfunktionen

Mehr

Schaltungsdesign Simulation Prof. Redlich 1

Schaltungsdesign Simulation Prof. Redlich 1 Schaltungsdesign Simulation Prof. Redlich 1 Schaltungsdesign Simulation Prof. Redlich 2 Capture.exe Öffnen von OrCAD Capture CIS durch klicken auf das jeweilige Icon auf dem Desktop oder unter: Alle Programme

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 1 Einführung in das Simulationsprogramm OrCAD Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Prof. Dr.-Ing.

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Arithmetik VHDL - Funktionen

Mehr

Komparatoren und Multiplexer

Komparatoren und Multiplexer TECHNISCHE UNIVERSITÄT ILMENU Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Komparatoren und Multipleer Versuch 5 im Informationselektronischen Praktikum

Mehr

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR

ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur ASIC-SYNTHESE DER SHAP-MIKROARCHITEKTUR Vortrag zum großen Beleg Andrej Olunczek Andrej.Olunczek@mailbox.tu-dresden.de

Mehr

PSpice 2. Versuch 10 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik

PSpice 2. Versuch 10 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik Fakultät für Elektrotechnik und Informationstechnik Institut für Mikro- und Nanoelektronik Fachgebiet Elektronische Schaltungen und Systeme PSpice Versuch 10 im Informationselektronischen Praktikum Studiengang

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den Versuchsreihen.5 bis.11 soll ein einfacher RISC Prozessor entworfen

Mehr

Mathematische Computer-Software

Mathematische Computer-Software Mathematische Computer-Software Kommerzielle Computeralgebrasysteme (CAS) Beispiele: Mathematica, Maple, Numerisches und symbolisches Verarbeiten von Gleichungen: Grundrechenarten Ableitung und Integration

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

2.2 Register-Transfer-Synthese

2.2 Register-Transfer-Synthese 2.2 Register-Transfer-Synthese Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer- Synthese Makrozellgeneratoren Beispiel Addierer Beispiel Speicher Synthese endlicher Automaten Zustandskodierung

Mehr

D.4 Versuchsreihe 4: Integrierte Logikbausteine

D.4 Versuchsreihe 4: Integrierte Logikbausteine .4 Versuchsreihe 4: Integrierte Logikbausteine Abgabedatum: 14.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) In den folgenden Versuchsreihen soll ein einfacher

Mehr

Überblick der Lehrveranstaltung. Physikalischer und elektrischer Entwurf

Überblick der Lehrveranstaltung. Physikalischer und elektrischer Entwurf Überblick der Lehrveranstaltung Physikalischer und elektrischer Entwurf - Gliederung Kontaktdaten Vorlesungsdaten Inhalt der Vorlesung Beispielbilder Gliederung der Vorlesung - Kontaktdaten Dozent: Dipl.-Ing.

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

14 Addierer und Subtrahierer

14 Addierer und Subtrahierer 14 Addierer und Subtrahierer 14.1 Darstellung positiver und negativer Zahlen Die Anzahl der Bitstellen muss festgelegt sein, um positive und negative Zahlen unterscheiden zu Binär m -1 = 3 Positiv Dezimal

Mehr

Zeon PDF Driver Trial

Zeon PDF Driver Trial FPGA Praktikum WS2000/2001 1.Woche: Organisation Synthetisierbares VHDL 1. Organisation des Praktikums Das Praktikum Zuordnung: 4 SWS PT3 (P5, P6) Treffen: Mittwochs, 14:00 c.t. Raum 308 Georg-Voigt-Straße

Mehr

Einführung in LTSpice

Einführung in LTSpice Einführung in LTSpice Wolfgang Puffitsch 26. März 2010 LTSpice (auch als SwitcherCAD III bezeichnet) ist ein Program der Firma Linear Technology um das Verhalten elektronischer Schaltungen zu simulieren.

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

4. Seminar. Grundlagen der Schaltungstechnik: PSpice Rechnerübung

4. Seminar. Grundlagen der Schaltungstechnik: PSpice Rechnerübung 4. Seminar Grundlagen der Schaltungstechnik: PSpice Rechnerübung 1 Pspice Analysearten Analyseart Gleichstrom-/ Arbeitspunktanalyse Bezeichner in der Netzliste.DC.OP U, I Bezugsvariable Bauelemente- /Modellparameter

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Vertiefungsrichtung Rechnerarchitektur

Vertiefungsrichtung Rechnerarchitektur srichtung () ( für ) Prof. Dietmar Fey Ziele der srichtung RA Vertiefen des Verständnis vom Aufbau, Funktionsweise von Rechnern und Prozessoren Modellierung und Entwurf von Rechnern und Prozessoren ()

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Nachklausur: Grundlagen der Informatik I, am 02. April 2008 Dirk Seeber, h_da, Fb Informatik

Nachklausur: Grundlagen der Informatik I, am 02. April 2008 Dirk Seeber, h_da, Fb Informatik Seite 1 von 7 Hiermit bestätige ich, dass ich die Übungsleistungen als Voraussetzung für diese Klausur in folgender Übung erfüllt habe. Jahr: Übungsleiter: Unterschrift: 1. Aufgabe ( / 4 Pkt.) Gegeben

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

Präsentation der Diplomarbeit. Untersuchung und Entwicklung von Konzepten für eigensichere Sensorsysteme

Präsentation der Diplomarbeit. Untersuchung und Entwicklung von Konzepten für eigensichere Sensorsysteme Präsentation der Diplomarbeit Technische Universität Dresden Fakultät Elektrotechnik und Informationstechnik Fraunhofer Institut Institut für mikroelektronische Schaltungen und Systeme Untersuchung und

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

PSpice 1. Versuch 9 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik

PSpice 1. Versuch 9 im Informationselektronischen Praktikum. Studiengang Elektrotechnik und Informationstechnik Fakultät für Elektrotechnik und Informationstechnik Institut für Mikro- und Nanoelektronik Fachgebiet Elektronische Schaltungen und Systeme PSpice 1 Versuch 9 im Informationselektronischen Praktikum Studiengang

Mehr

WS 2011/2012. Georg Sauthoff November 2011

WS 2011/2012. Georg Sauthoff November 2011 WS 2011/2012 Georg 1 AG Praktische Informatik 22. November 2011 1 gsauthof@techfak.uni-bielefeld.de Vorstellung der abgaben aus den Tutorien. Sieb-Beispiel Siehe Tafel (Auswertungsbeispiel) Sieb-Beispiel

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.!

Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Fakultät Informatik Institut für Technische Informatik VLSI-Entwurfssysteme, Diagnostik und Entwurf! Test & Diagnose digitaler! Systeme,! Prüffreundlicher Entwurf.! Norman Seßler! Dresden, 1.7.2009! Gliederung!

Mehr

1 Das Kommunikationsmodell

1 Das Kommunikationsmodell 1 Das Kommunikationsmodell Das Sender-Empfänger-Modell der Kommunikation (nach Shannon und Weaver, 1949) definiert Kommunikation als Übertragung einer Nachricht von einem Sender zu einem Empfänger. Dabei

Mehr

Labor Elektronik. Laborbericht zu Versuch: Transistorverstärker. Teilnehmer: ... (Author) Tong Cha (Mat.-Nr:...)

Labor Elektronik. Laborbericht zu Versuch: Transistorverstärker. Teilnehmer: ... (Author) Tong Cha (Mat.-Nr:...) Labor Elektronik Laborbericht zu Versuch: Transistorverstärker Teilnehmer:... (Author) Tong Cha (Mat.-Nr:...) Datum der Simulation: 09.12.2008 Datum der Messung: 23.12.2008 Allgemeines: Labor Elektronik,...,

Mehr

Automatische Testsysteme und ihre Programmierung. Dresden, 09.07.2008. Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de

Automatische Testsysteme und ihre Programmierung. Dresden, 09.07.2008. Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de Fakultät Informatik - Institut für Technische Informatik - Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Automatische Testsysteme und ihre Programmierung Michael Dittrich, michael-dittrich@mailbox.tu-dresden.de

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Automatische Überprüfung und Hilfestellung zu Vorlesungs-begleitenden Übungen

Automatische Überprüfung und Hilfestellung zu Vorlesungs-begleitenden Übungen Titel Automatische Überprüfung und Hilfestellung zu Vorlesungs-begleitenden Übungen Klaus von der Heide, Norman Hendrich Universität Hamburg, Fachbereich Informatik Vogt-Kölln-Str. 30, D 22527 Hamburg

Mehr

DYMOLA Informationstag TU München,

DYMOLA Informationstag TU München, DYMOLA Informationstag TU München, 23.04.2008 Dipl.-Ing. Anton Haumer www.haumer.at Technisches Büro für Elektrotechnik Elektrische Antriebstechnik Berechnung von Zusatzverlusten in Asynchronmaschinen

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf

Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Test und Diagnose digitaler Systeme, prüffreundlicher Entwurf Fabian Pilz Dresden,

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Algorithmen und ihre Programmierung -Teil 2-

Algorithmen und ihre Programmierung -Teil 2- Veranstaltung Pr.-Nr.: 101023 Algorithmen und ihre Programmierung -Teil 2- Veronika Waue WS 07/08 Wiederholung: Algorithmen Variablen und Datentypen Variablen haben einen Namen, einen Datentypen und einen

Mehr

VHDL - Objekte und Typen

VHDL - Objekte und Typen VHDL - Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Objekte und Typen 1/23 2007-08-24 Inhalt

Mehr

Grundlagen wissenschaftlichen Arbeitens (ws /05)

Grundlagen wissenschaftlichen Arbeitens (ws /05) Grundlagen wissenschaftlichen Arbeitens (ws.. 2004/05) Thema: The programming language PASCAL Autor: Ratko Trajanovski 1 kurzfassung Zur Sprache PASCAL Pascal in der Ausbildung Lexikalische Elemente Programmaufbau

Mehr

CLB Simulator. Inhaltsverzeichnis. Marcel Viehmeier. 30. Oktober Version 1.0.1

CLB Simulator. Inhaltsverzeichnis. Marcel Viehmeier. 30. Oktober Version 1.0.1 CLB Simulator Marcel Viehmeier 30. Oktober 2012 Version 1.0.1 Inhaltsverzeichnis 1 Einleitung 2 1.1 Hintergrund................................. 2 1.2 Technischer Hintergrund..........................

Mehr

Integrated HW/SW Systems Group 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel.

Integrated HW/SW Systems Group  20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel. Erweiterte Simulation / Aufgaben 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 20 November 2009 1 Inhalt Erweiterung der Simulation Ausgabe der Bilddaten in eine Datei

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr