D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese

Größe: px
Ab Seite anzeigen:

Download "D.9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese"

Transkript

1 .9 Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abgabedatum: Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen) Aufbau des Gesamtsystems amit ein funktionsfähiges Gesamtsystem für das FPGA-Board synthetisiert werden kann, muss Ihre bisherige Toplevel-Komponente (system) angepasst und erweitert werden. Bisher hat die Komponente system lediglich Ihren HAPRA-Prozessor mit der memory-komponente verbunden. Nun bekommt diese Komponente eine Schnittstelle um die Peripherie (Speicherchips, serielle Schnittstelle, VGA, Taster,...) auf dem FPGA-Board anzusprechen. ie Signale dieser Schnittstelle werden später in der Synthese auf reale FPGA-Pins gelegt sie mit der Board-Hardware zu verbinden. Ihr Prozessor wird diese Schnittstelle nach aussen nicht direkt ansprechen, sondern mittels der Komponente chipsatz, die den Platz der Komponente memory einnimmt. ie neue Komponente beinhaltet selber keinen Speicher mehr sondern leitet die Anforderungen vom Prozessor an die Board-Peripherie weiter. Zur Validierung des Gesamtsystems muss daher die Hardware des FPGA-Boards (wie z.b. das Verhalten der Speicherchips) ebenfalls simuliert werden. azu existiert eine VHL- Beschreibung des FPGA-Boards (board.vhd), die den Speicherinhalt aus der atei sram_load.dat liest und dem System zur Verfügung stellt. as heisst, in der Simulation ist board.vhd die Testbench, die Ihre Komponente system einbindet. Bei der Synthese für den FPGA ist die Komponente system der Toplevel und kommuniziert mit der realen Hardware auf dem Board. as gesamte System sieht nun folgendermaßen aus: Hardware-Praktikum 2010: Versuchsreihe 9 1

2 .9: Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Abbildung.1: Gesamtsystem: FPGA und Simulation Aufgabe 1 Erstellen Sie eine neue VHL atei mit dem Namen system.vhd und geben Sie in der Entity-eklaration die Schnittstelle aus der Tabelle.1 ein. Binden Sie den Prozessor proc.vhd und die Bibliotheks-Komponente chipsatz ein und verbinden Sie beide. ie Schnittstelle der Komponente chipsatz finden Sie in der atei/cad/tools/hapra/mentor/src/chipsatz.vhd. Verbinden Sie den Chipsatz mit der Schnittstelle des Systems. as Signal BOAR_CLK ist mit dem Eingang clk des Prozessors und des Chipsatzes zu verbinden. ie Signale BOAR_VGA_RAMAC und LE_RIGHT sollten offen gelassen werden, da sie im vorhandenen Board nicht verwendet werden können. Um das System in einen definierten Zustand zu bringen, ist nach dem Einschalten ein so genannter Powerup-Reset notwendig. In der Simulation wird er durch die Testbench ausgelöst, nach der Synthese wird dafür ein spezielles Register (res_power_up) benötigt. er Synthese wird später in der UCF-atei mitgeteilt, dass das Register res_power_up nach dem Laden des Gesamtsystems auf das FPGA eine 1 aufweisen soll. Sobald nach der Personalisierung des FPGA also der Takt einsetzt, erkennt das System anhand dieser 1, dass ein Reset des Chipsatzes und des Prozessors ausgelöst werden muss um in einen definierten Zustand zu gelangen. Nach dem Reset wird in das Register res_power_up auf 0 gesetzt. Fügen Sie Ihrem System (system.vhd) ein Signal mit dem Namen res_power_up hinzu und verbinden Sie es mit dem gleichnamigen Eingang des Chipsatzes. Sorgen Sie dafür, dass dem Signal bei einem Reset (wenn Signal res 1 ist) der Wert 0 synchron zum Takt zugewiesen wird. Auch in der VHL-Beschreibung ist es wichtig dem zugehörigen Signal bereits bei der eklaration einen Wert zuzuweisen, 2 Hardware-Praktikum 2010: Versuchsreihe 9

3 da die Synthese sonst die vermeintlich unnütze Logik entfernt. Verwenden Sie dazu folgende eklaration: architecture netlist of system is signal res : std_logic; signal res_power_up : std_logic := 1 ; Anschluss Richtung Typ BOAR_CLK Eingang ST_LOGIC BOAR_RES Eingang ST_LOGIC BOAR_RAM_CE Ausgang ST_LOGIC BOAR_RAM_OE Ausgang ST_LOGIC BOAR_RAM_WE Ausgang ST_LOGIC BOAR_RAM_AR(18:0) Ausgang ST_LOGIC_VECTOR BOAR_RAM_ATA(15:0) Ein-/Ausgang ST_LOGIC_VECTOR BOAR_RTS Ausgang ST_LOGIC BOAR_TX Ausgang ST_LOGIC BOAR_CTS Eingang ST_LOGIC BOAR_RX Eingang ST_LOGIC BOAR_LEL(6:0) Ausgang ST_LOGIC_VECTOR BOAR_LEB(9:0) Ausgang ST_LOGIC_VECTOR BOAR_BUTTON(2:0) Eingang ST_LOGIC_VECTOR BOAR_VGA_PIXELCLK Ausgang ST_LOGIC BOAR_VGA_HSYNC Ausgang ST_LOGIC BOAR_VGA_VSYNC Ausgang ST_LOGIC BOAR_VGA_BLANKn Ausgang ST_LOGIC BOAR_VGA_Rn Ausgang ST_LOGIC BOAR_VGA_WRn Ausgang ST_LOGIC BOAR_VGA_RS(2:0) Ausgang ST_LOGIC_VECTOR BOAR_ETH_TRISTATE Ausgang ST_LOGIC BOAR_FLASH_CE Ausgang ST_LOGIC BOAR_LRAM_CE Ausgang ST_LOGIC Tabelle.1: Schnittstelle des Systems. Hardware-Praktikum 2010: Versuchsreihe 9 3

4 .9: Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Versuch 2 Ändern Sie Ihr Testprogramm aus der letzten Versuchsreihe so ab, so dass es an Adresse 0x80000 beginnt; der Start-Adresse des Board-Speichers. Erstellen Sie dann die ateisram_load.dat mit Hilfe von HASE. Kopieren Sie die atei/cad/tools/hapra/mentor/src/board.vhd in Ihr proc Verzeichnis und starten Sie eine ModelSim-Simulation mit der Komponente board als Toplevel. cp /cad/tools/hapra/mentor/src/board.vhd ~/proc cd ~/proc vsim Ihr Testprogramm sollte nun genauso ausgeführt werden, wie in der letzten Versuchsreihe. Ist dies nicht der Fall, überprüfen Sie die Verdrahtung des Systems und Ihr Speicherprotokoll. Erstellen Sie für das Protokoll einen Ausdruck mit dem Signalverlauf der wichtigsten Signale und Register. Kommentieren Sie die ersten drei Instruktionen, die der Prozessor ausführt. 4 Hardware-Praktikum 2010: Versuchsreihe 9

5 Interrupts Als letzte Erweiterung des Steuerwerks wird nun die Behandlung von Interrupts (Unterbrechungen) implementiert. Mit den Interrupts kann der Chipsatz den Prozessor auf verschiedene Ereignisse aufmerksam machen, ohne dass der Prozessor ständig aktiv einen Status abfragen muss (sog. Polling). Typische Gründe für das auslösen von Interrupts sind das drücken von Tastern, der ablauf eines programmierten Timers oder die erfolgte arstellung eines VGA-Frames. ie Interrupt-Steuerung erfolgt über zwei Signale: irq und irq_adr. as Signal irq zeigt die Unterbrechungsaufforderung an und der Bus irq_adr teilt dem Prozessor die Adresse der Interrupt-Behandlungsroutine mit. Liegt eine Unterbrechungsaufforderung vor, soll der Prozessor möglichst bald zur angegebenen Behandlungsroutine springen. Ein eintreffender Interrupt braucht erst nach der Abarbeitung des aktuellen Befehls behandelt werden. Führt der Prozessor gerade eine Behandlungsroutine aus, sollen weitere Interrupts solange ignoriert werden bis die aktuelle Behandlungsroutine abgearbeitet ist. Vor der Behandlung der Anforderung muss der aktuelle Inhalt des Programmzählers Program-Counter (PC) in ein Sicherungsregister geschrieben werden. anach wird der PC mit Adresse der Behandlungsroutine aus dem Signaleingang irq_adr überschrieben. ie Behandlungsroutine wird mit dem neuen Befehl rfe (return from exception) abgeschlossen. ieser bewirkt, dass der Inhalt des PC aus dem Sicherungsregister zurückgeladen und damit das unterbrochene Programm fortgesetzt wird. Hardware-Praktikum 2010: Versuchsreihe 9 5

6 .9: Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Aufgabe 3 Ergänzung der Spezifikation des Steuerwerks Ergänzen Sie den Aufbau des Gesamtsystems (mit Steuerwerk) um das Sicherungsregister. Erstellen Sie eine Liste aller Status- und Steuersignale, die dem Steuerwerk hinzugefügt werden müssen und kennzeichnen Sie diese Signale in dem Schaltbild des Gesamtsystems. Sehen Sie den Aufruf sowie das Verlassen der Interruptbehandlung vor. 6 Hardware-Praktikum 2010: Versuchsreihe 9

7 In Tabelle.2 sehen Sie den rfe-befehl (return from exception), der für das Verlas- Aufgabe 4 sen der Interruptbehandlung vorgesehen ist. Beschreiben Sie das neue Steuerwerk, das auf eine Interruptanforderung reagiert, sowie den rfe-befehl ausführen kann, mit einem oder mehreren Zustandsübergangsdiagrammen. Zu setzende Steuersignale brauchen noch nicht angegeben zu werden. Bedenken Sie, dass das Steuerwerk weiterhin ein Moore-Automat bleiben soll. Erstellen Sie eine Liste der Zustände, die für die Interrupts neu hinzugefügt wurden oder für die sich Änderungen im Folgezustand oder der Ausgabe ergeben. Kommentieren Sie die Übergänge, die für das Betreten oder das Verlassen eines Interrupts hinzugefügt oder abgeändert werden müssen. Befehl Opcode (31 downto 16) 15 downto 0 Bedeutung Beispiel RFE PC PC backup rfe Tabelle.2: Befehl zum Verlassen einer Unterbrechung. Hardware-Praktikum 2010: Versuchsreihe 9 7

8 .9: Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Aufgabe 5 Geben Sie für die Zustände aus der erstellten Liste an, welche Steuersignale bei den entsprechenden Zustandsübergängen gesetzt werden müssen. Versuch 6 Implementierung der Interrupts as Steuerwerk muss um die neuen Signale ergänzt werden und das Sicherungsregister muss dem Prozessor hinzugefügt werden. Öffnen Sie die VHL- Beschreibung Ihres Prozessors (proc.vhd) und führen Sie die Änderungen aus Aufgabe 3 durch und verbinden Sie die Ein- und Ausgänge des neuen Steuerwerks mit den entsprechenden Anschlüssen im atenpfad. rucken Sie den VHL-Code des Prozessors für das Protokoll aus. Versuch 7 Ergänzen Sie die Verhaltensbeschreibung Ihres Steuerwerks ctrl.vhd um die neuen Zustände. Führen Sie die notwendigen Änderungen an der Verhaltensbeschreibung durch und drucken Sie anschließend das neue Steuerwerk aus. 8 Hardware-Praktikum 2010: Versuchsreihe 9

9 Schreiben Sie ein Testprogramm, um die korrekte Funktionsweise der Interrupts Aufgabe 8 zu überprüfen. Benutzen Sie dazu den Timer-Interrupt, um Unterbrechungen zu erzeugen. ie Beschreibung der Timer-Interrupt-Funktion finden Sie im HAPRA- Skript im Kapitel Chipsatz. Erweitern Sie ihr Testprogramm aus Aufgabe 2 um die Routine aus Aufgabe 8 und Versuch 9 erzeugen Sie die atei sram_load.dat mit HASE. Simulieren Sie das Gesamtsystem mit dem neuen Programm und überprüfen Sie die korrekte Behandlung der Interrupts. Hardware-Praktikum 2010: Versuchsreihe 9 9

10 .9: Versuchsreihe 9: Gesamtsystem, Interrupts, Synthese Synthese und Personalisierung des FPGA Versuch 10 Synthetisieren Sie zunächst das erweiterte Steuerwerk einzeln mit XST und protokollieren Sie den Ressourcenverbrauch (Area und Timing). Achten Sie auch auf die Anzahl der zusätzlichen Register. Versuch 11 Gehen Sie folgendermaßen vor, um den Prozessor zu synthetisieren: Im Verzeichnis/cad/tools/hapra/xilinx finden Sie die ateien build.sh, system.prj, system.scr und system.ucf. Kopieren Sie diese ateien in das Verzeichnis ihres Prozessors. cd ~/proc cp /cad/tools/hapra/xilinx/build.sh. cp /cad/tools/hapra/xilinx/system.prj. cp /cad/tools/hapra/xilinx/system.scr. cp /cad/tools/hapra/xilinx/system.ucf. Passen Sie die atei system.prj an Ihren Entwurf an. Rufen Sie build.sh auf./build.sh ieser Prozess kann einige Minuten dauern. Eine genaue Ausgabe der ablaufenden Prozesse finden Sie in den ateien synthesis.log und bitgen.log. Nach dem das Skript fehlerfrei durchgelaufen ist, liegt die atei system_r.bit im aktuellen Verzeichnis. Kontrollieren Sie nach der Synthese die Ausgabe des Syntheseskript. Achten Sie insbesondere auf die Verzögerung des Gesamtsystems. a Ihr Prozessor-Entwurf auf dem FPGA-Board mit 25 Mhz betrieben wird darf die maximale Verzögerung nicht über 40 ns liegen. 10 Hardware-Praktikum 2010: Versuchsreihe 9

11 Nachdem die atei system_r.bit erzeugt wurde kann sie mit Versuch 12 xsload -fpga system_r.bit wie in Versuchsreihe 3 auf das FPGA geladen werden. Wenn Sie nach der Personalisierung ein Lauflicht sehen, arbeitet Ihr Prozessor korrekt auf dem FPGA-Board! as Lauflicht wird vom Bootloader-Programm erzeugt, das von Ihrem Prozessor ausgeführt wird. Sie können mit dem linken Taster einen Reset erzeugen. Ziehen Sie niemals einen Stecker vom FPGA-Board. Bei falschem Anschluss der Stecker kann das FPGA-Board zerstört werden. Lauflicht gesehen Schalten Sie alle Geräte bis auf den Rechner aus. Überprüfen Sie, ob alle Leitungen, Versuch 13 Multimeter und Tastköpfe aufgeräumt sind. Vergewissern Sie sich, dass Sie nicht mehr eingeloggt sind. Stellen Sie die Stühle an die Tische und vergewissern Sie sich nochmals, dass der Platz in ordnungsgemäßem Zustand ist. Lassen Sie bitte keinen Unrat unter den Tischen stehen! Hardware-Praktikum 2010: Versuchsreihe 9 11

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Atmel AVR für Dummies

Atmel AVR für Dummies Atmel AVR für Dummies fd0@koeln.ccc.de 29.12.2005 Übersicht 1 Hardware Kurzvorstellung Atmega8 Programmierkabel (Eigenbau vs. Kommerzlösung) Alternative: Bootloader (Programmieren via rs232) Software Speicher

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Interrupts. Funktionsprinzip. Funktionsprinzip. Beispiel in C

Interrupts. Funktionsprinzip. Funktionsprinzip. Beispiel in C Interrupts Funktionsprinzip Interrupts bei ATmega128 Beispiel in C Funktionsprinzip 1 Was ist ein Interrupt? C muss auf Ereignisse reagieren können, z.b.: - jemand drückt eine Taste - USART hat Daten empfangen

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Übungscomputer mit Prozessor 8085 - Bedienungsanleitung

Übungscomputer mit Prozessor 8085 - Bedienungsanleitung Seite 1 von 9 Pinbelegung der Steckerleisten im Übungsgerät Seite 2 von 9 Inbetriebnahme: Schalter S1, S2, und S3 in Stellung 1 (oben) schalten. Spannung 5 V anlegen. ACHTUNG auf Polarität achten. Taste

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Angewandte Informatik

Angewandte Informatik Angewandte Informatik Teil 2.1 Was ist Hardware? Die Zentraleinheit! 1 von 24 Inhaltsverzeichnis 3... Was ist Hardware? 4... Teile des Computers 5... Zentraleinheit 6... Die Zentraleinheit 7... Netzteil

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Installationsanleitung für die im Praktikum benötigte Software

Installationsanleitung für die im Praktikum benötigte Software LEHRSTUHL FÜR MIKROELEKTRONIK Prof. Dr.-Ing. Yiannos Manoli ALBERT-LUDWIGS- UNIVERSITÄT FREIBURG Installationsanleitung für die im Praktikum benötigte Software In den folgenden Übungen werden die Programme

Mehr

DF PROFI II PC/104-Plus

DF PROFI II PC/104-Plus DF PROFI II PC/104-Plus Installationsanleitung V1.3 04.08.2009 Project No.: 5302 Doc-ID.: DF PROFI II PC/104-Plus COMSOFT d:\windoc\icp\doku\hw\dfprofi ii\installation\pc104+\version_1.3\df profi ii pc

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Teil I: Wat isse ne Mikrokontroller?

Teil I: Wat isse ne Mikrokontroller? Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil I: Wat isse ne Mikrokontroller? Wat

Mehr

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board

Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Versuch 4 Realisierung einer Ampelsteuerung auf einem FPGA-Board Bild 1: Zusatzleiterplatte

Mehr

M-AX-16/C1 (Typ 37) Inhaltsverzeichnis 18-1 18. M-AX-16/C1

M-AX-16/C1 (Typ 37) Inhaltsverzeichnis 18-1 18. M-AX-16/C1 MAX6/C (Typ 37) Inhaltsverzeichnis 8 8. MAX6/C Zählermodul mit 3 Zählkanälen à 2Bit und integriertem InterruptController, Betriebsartenumschaltung per Software Funktionsbeschreibung 82 Blockschaltbild...

Mehr

Ergänzungen zum Manual OS V 2.05/2.06

Ergänzungen zum Manual OS V 2.05/2.06 Ergänzungen zum Manual OS V 2.05/2.06 SYSTEMRESOURCEN - PROGRAMM DOWNLOAD - Ab der Betriebssystemversion 2.05 haben die C-Control Units M-2.0 und Station 2.0 die Möglichkeit das Anwenderprogramm von einem

Mehr

Datensicherung. Beschreibung der Datensicherung

Datensicherung. Beschreibung der Datensicherung Datensicherung Mit dem Datensicherungsprogramm können Sie Ihre persönlichen Daten problemlos Sichern. Es ist möglich eine komplette Datensicherung durchzuführen, aber auch nur die neuen und geänderten

Mehr

Übersicht und Dokumentation der Reset- Funktionen der IO CONNECT

Übersicht und Dokumentation der Reset- Funktionen der IO CONNECT Übersicht und Dokumentation der Reset- Funktionen der IO CONNECT Exposee In diesem Dokument erhalten Sie Informationen über die Verwendung der Reset-Funktionen. FORSIS GmbH;Tobias Gläser B.Eng. www.forsis.de

Mehr

AVR Web Server. Version 1.1. erstellt von. Ulrich Radig

AVR Web Server. Version 1.1. erstellt von. Ulrich Radig AVR Web Server Version 1.1 erstellt von Ulrich Radig 1 Vorwort: Der von mir aufgebaute AVR Webserver dient zum Steuern Messen und Regeln von diversen Gerätschaften genannt seien Garagentor, Lampen, Heizung

Mehr

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs Programmieren. 09.10.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs Programmieren 9.1.11 Microcontroller Kurs/Johannes Fuchs 1 General Purpose Input Output (GPIO) Jeder der Pins der vier I/O Ports kann als Eingabe- oder Ausgabe-leitung benutzt werden.

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Memory-Mapped-IO. Kapitel 4

Memory-Mapped-IO. Kapitel 4 Kapitel 4 Memory-Mapped-IO In dieser Übung soll das bereits von Ihnen entwickelte programmierbare PWM- Modul an den Nios II Prozessor angebunden und dann per Software gesteuert werden. Altera stellt dafür

Mehr

GeoPilot (Android) die App

GeoPilot (Android) die App GeoPilot (Android) die App Mit der neuen Rademacher GeoPilot App machen Sie Ihr Android Smartphone zum Sensor und steuern beliebige Szenen über den HomePilot. Die App beinhaltet zwei Funktionen, zum einen

Mehr

Einführung in Mikrokontroller Benutzung mit dem Arduino Board. Ramon Hofer ICVR

Einführung in Mikrokontroller Benutzung mit dem Arduino Board. Ramon Hofer ICVR Einführung in Mikrokontroller Benutzung mit dem Arduino Board Ramon Hofer ICVR Ablauf Warum Mikrokontroller Das Arduino Board Anschliessen von Bauteilen Digitale Ein- und Ausgaben Analoge Eingaben Serielle

Mehr

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4.

UNIVERSITÄT LEIPZIG. Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007. Aufgaben zum Elektronik Grundlagenpraktikum. 4. UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3./4. Semester - WS 2006/ SS 2007 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-oachim Lieske Tel.: [49]-0341-97 32213

Mehr

ONE Technologies AluDISC 3.0

ONE Technologies AluDISC 3.0 ONE Technologies AluDISC 3.0 SuperSpeed Desktop Kit USB 3.0 Externe 3.5 Festplatte mit PCIe Controller Karte Benutzerhandbuch Inhaltsverzeichnis: I. Packungsinhalt II. Eigenschaften III. Technische Spezifikationen

Mehr

RN-Control ARDUINO Bibliothek ATMEL 32 Version 0.2

RN-Control ARDUINO Bibliothek ATMEL 32 Version 0.2 RN-Control ARDUINO Bibliothek ATMEL 32 Version 0.2 Bernd Klein 23. Mai 2011 Inhaltsverzeichnis 1 ARDUINO - RN-Control Library 3 1.1 Bibliothek RNControl...................... 3 1.2 Einführung............................

Mehr

TBE332 Controller. Produktbeschreibung

TBE332 Controller. Produktbeschreibung TBE332 Controller Produktbeschreibung Bei der Entwicklung der TBE332 Steuerung wurde auf die Erfahrung unserer jahrelangen Planung und Realisierung verschiedenster Steuerungs- und Regelungseinrichtungen

Mehr

DIGITALVARIO. Anleitung Bootloader. Ausgabe 0.1 deutsch 29.11.2005. für Direkt-Digital-Vario. Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003

DIGITALVARIO. Anleitung Bootloader. Ausgabe 0.1 deutsch 29.11.2005. für Direkt-Digital-Vario. Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003 DIGITALVARIO Anleitung Bootloader Ausgabe 0.1 deutsch 29.11.2005 für Direkt-Digital-Vario Firmware ab 00-06-00 Hardware 01 Seriennummer ab 0003 1. Funktion Der Bootloader dient dazu Updates der Variosoftware

Mehr

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen

Xilinx ISE Tutorial. Abbildung 1: FPGA auswählen Xilinx ISE Tutorial 1 Einführung Die HaDePrak-DLX wird mithilfe der Xilinx ISE Software aufgebaut. Sie integriert einen Editor für Hardwarebeschreibungssprachen, ein Eingabeprogramm für schematische Layouts

Mehr

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy

Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy uc_kurs_mts_hl_1 Seite 1 S1 Was unterscheidet Microcontroller von einem PC ( Laptop ) oder einem Handy An einen uc kann man eine Menge Sensoren und Aktuatoren anschließen. Mit den Sensoren kann der uc

Mehr

Interrupt-Programmierung

Interrupt-Programmierung Interrupt-Programmierung Am Beispiel des ATMEGA16 Microcontrollers Beispiel: Messung der Betriebszeit Die Betriebszeit zeigt an, wie lange der Rechner seit dem Booten läuft Hier: Aktualisierung der Betriebszeit

Mehr

Visualisierung eines Step7-Programmes in WinCC

Visualisierung eines Step7-Programmes in WinCC Simatic WinCC - Panel Seite 1 Visualisierung eines Step7-Programmes in WinCC MPI-Bus Projektierungsrechner mit Simatic Manager und WinCC Multi-Panel Simatic 300 MPI-Bus Reelle Einund Ausgänge Simatic WinCC

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

IntMess 3.0. Installationsanleitung

IntMess 3.0. Installationsanleitung IntMess 3.0 Auswertung von Intensivmessungen Installationsanleitung Lizenz: SW Mustergas GmbH 03.02.09 1 IntMess 3.0 Weilekes Elektronik GmbH Wanner Strasse 170 45888 Gelsenkirchen www.weilekes.de info@weilekes

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Script-Sprache für UPT und MKT-View II / III / IV. Einleitung, Anwendungsfälle, Programmierung. MKT Systemtechnik

Script-Sprache für UPT und MKT-View II / III / IV. Einleitung, Anwendungsfälle, Programmierung. MKT Systemtechnik Einleitung, Anwendungsfälle, Programmierung MKT Systemtechnik Autor: Stand: Ablage: Wolfgang Büscher Dipl.-Ing. Soft- und Hardware-Entwicklung buescher@mkt-sys.de 2015-01-21 (JJJJ-MM-DD) art85133_einfuehrung_mktview_scriptsprache.odp/pdf;

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Das Pollin Atmel Evaluationboard Ver. 2.01 unter Verwendung von MyAVR_Prog Tool und der Arduino IDE ein Kurztutorial

Das Pollin Atmel Evaluationboard Ver. 2.01 unter Verwendung von MyAVR_Prog Tool und der Arduino IDE ein Kurztutorial Das Pollin Atmel Evaluationboard Ver. 2.01 unter Verwendung von MyAVR_Prog Tool und der Arduino IDE ein Kurztutorial Einleitung 15.07.2012 V0.9c Angeregt durch Torsten, DL8KFO habe ich mich angefangen

Mehr

IMBA. Installationsanleitung. SQL Server-Datenbankadapter. Das Instrument für den fähigkeitsgerechten Personaleinsatz

IMBA. Installationsanleitung. SQL Server-Datenbankadapter. Das Instrument für den fähigkeitsgerechten Personaleinsatz Das Instrument für den fähigkeitsgerechten Personaleinsatz IMBA SQL Server-Datenbankadapter Installationsanleitung gefördert durch das Bundesministerium für Gesundheit und Soziale Sicherung Vorbereitung

Mehr

Zähler- und Zeitgeber-Baugruppen

Zähler- und Zeitgeber-Baugruppen Zähler- und Zeitgeber-Baugruppen Sinn: häufig müssen Zeitbedingungen eingehalten werden z.b.: einige ms warten, Häufigkeit von Ereignissen zählen etc... Lösung: 1.) Zeitschleifen = Programm abarbeiten,

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung)

Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Codegenerierung für FPGAs aus einem Simulink-Modell (Schritt-für-Schritt-Anleitung) Folgende Schritt-für-Schritt-Anleitung zeigt exemplarisch den Arbeitsablauf der HDLCodegenerierung für das Spartan-3E

Mehr

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH

ARM Cortex-M Prozessoren. Referat von Peter Voser Embedded Development GmbH ARM Cortex-M Prozessoren Referat von Peter Voser Embedded Development GmbH SoC (System-on-Chip) www.embedded-development.ch 2 Instruction Sets ARM, Thumb, Thumb-2 32-bit ARM - verbesserte Rechenleistung

Mehr

White Paper. Embedded Treiberframework. Einführung

White Paper. Embedded Treiberframework. Einführung Embedded Treiberframework Einführung White Paper Dieses White Paper beschreibt die Architektur einer Laufzeitumgebung für Gerätetreiber im embedded Umfeld. Dieses Treiberframework ist dabei auf jede embedded

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Parallel-IO. Ports am ATmega128

Parallel-IO. Ports am ATmega128 Parallel-IO Ansteuerung Miniprojekt Lauflicht Ports am ATmega128 PortE (PE7...PE0) alternativ, z.b. USART0 (RS232) 1 Pin von PortC Port C (PC7...PC0) 1 Parallel-IO-Port "Sammelsurium" verschiedener Speicher

Mehr

DTMF Sender. Es können 252 Zeichen maximal am Stück übertragen werden; die Länge des Strings wird mit einem Byte übertragen.

DTMF Sender. Es können 252 Zeichen maximal am Stück übertragen werden; die Länge des Strings wird mit einem Byte übertragen. DTMF Sender Author DK1RI, Version V02.1, 20160104 This project can be found in https://www.github.com/dk1ri Einleitung Dieses Interface ist eine Vorlage für ein Interface, das ein Gerät, das sich mit DTMF

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform

Einführung in das Programmieren in der Sekundarstufe 1. mit einem Arduino und der Physical-Computing-Plattform Einführung in das Programmieren in der Sekundarstufe 1 mit einem Arduino und der Physical-Computing-Plattform 1 Arduino mit Breadboard (Steckbrett) und LED mit Vorwiderstand Arduino-Board Uno R3 mit Mikrocontroller

Mehr

GRUNDLEGENDES ZUM EINRICHTEN DES LAPTOP-ABGLEICHS

GRUNDLEGENDES ZUM EINRICHTEN DES LAPTOP-ABGLEICHS GRUNDLEGENDES ZUM EINRICHTEN DES LAPTOP-ABGLEICHS Folgende Punkte müssen Sie zuerst einstellen: Legen Sie in der Zentrale alle Laptops an! Dann legen Sie auf jedem Laptop auch alle an, wie in der Zentrale.

Mehr

Betriebsanleitung TCS-MICRO

Betriebsanleitung TCS-MICRO Betriebsanleitung TCS-MICRO 1 Einführung 1.1 TCS Micro Funktion Das TCS (Telecom Control System) ermöglicht das Erfassen von Betriebszuständen an Maschinen und Anlagen. Dazu ist ein digitaler Eingang vorhanden,

Mehr

SCAN OPERATOR 12. Bedienungsanleitung. Ab Version 1.0. email: service@glp.de Internet: http://www.glp.de

SCAN OPERATOR 12. Bedienungsanleitung. Ab Version 1.0. email: service@glp.de Internet: http://www.glp.de Bedienungsanleitung Ab Version 1.0 email: service@glp.de Internet: http://www.glp.de 2 (V2.0) GLP German Light Production Inhalt 1 Übersicht...5 1.1 Frontansicht...5 1.2 Rückansicht...7 2 Bedienung...7

Mehr

Technische Dokumentation. TopMessage / TopLab Hardware MessHaus Softwarekomponenten SPS

Technische Dokumentation. TopMessage / TopLab Hardware MessHaus Softwarekomponenten SPS Delphin Technology AG Sülztalstraße 23 D 51491 Overath-Brombach Tel: ++49 2207 9645 0 Fax: ++49 2207 9645 35 Technische Dokumentation TopMessage / TopLab Hardware MessHaus Softwarekomponenten SPS Ergänzende

Mehr

Tag 2 Eingabe und Interrupts

Tag 2 Eingabe und Interrupts Tag 2 Eingabe und Interrupts 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Taster Direkt an Portpin angeschlossen (etwa PINB0, PIND3) Pull-Up-Widerstände einschalten!

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------ Beschreibung: M O D U L 1 SPEICHERVERWALTUNG AC 1 ------------------------------------------------------------- Weiterhin Anleitung: Programmpaket X V.2 -------------------------------------------------------------

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Software mit Tests & Beispielen

Software mit Tests & Beispielen Prüfverfahren Anleitung INHALT Allgemeine Angaben 1. DAS GERÄT... 3 2. DAS GERÄT TESTEN... 4 3. DIE KOMMUNIKATION MIT DEM PC TESTEN... 6 Software mit Tests & Beispielen 1. DIE TESTPROGRAMME HERUNTERLADEN...

Mehr

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Von SystemC zum FPGA in 7 Schritten Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Bremen. Mirko Kruse 21. November 2004 Inhalt 1 Einleitung...1 2 Benötigte Software...1

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Go Wireless Connect - Kurzbeschreibung

Go Wireless Connect - Kurzbeschreibung Index Änderung Datum Name 01.01 Erweiterung um die SMS alität 09.07.2012 Skrebba 01.00 Erstellung 14.06.2012 Skrebba 1. Allgemes Nachdem das Gerät betriebsbereit ist, wird anschließend e Verbindung zum

Mehr

Die ActiveX-Komponente COM_V3

Die ActiveX-Komponente COM_V3 Comx3-handbuch Die ActiveX-Komponente COM_V3 1. Einführung COM_V3 ist eine ActiveX-Komponente, welche einige Funktionen zum Programmieren der seriellen Schnittstelle zur Verfügung stellt. COM_V3 kann nicht

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Konfiguration eines Cisco Router 761 für ISDN

Konfiguration eines Cisco Router 761 für ISDN Diese Anleitung habe ich für einen Freund geschrieben, der einen Cisco 761 in seinem Büro einsetzt um die einzelnen Arbeitsplätze den Zugang zum Internet mit nur einem ISDN Anschluß zu T-Online zu ermöglichen.

Mehr

Technische Informatik

Technische Informatik Technische Informatik Eine einführende Darstellung von Prof. Dr. Bernd Becker Prof. Dr. Paul Molitor Oldenbourg Verlag München Wien Inhaltsverzeichnis 1 Einleitung 1 1.1 Was ist überhaupt ein Rechner?

Mehr

Arbeiten mit XILINX - ISE - WebPACK

Arbeiten mit XILINX - ISE - WebPACK FACHHOCHSCHULE KAISERSLAUTERN STANDORT ZWEIBRÜCKEN Informatik und Mikrosystemtechnik Dipl. Informatiker ( FH ) Stefan Konrath Stand: 07.08.2007 Arbeiten mit XILINX - ISE - WebPACK Neues FPGA-Projekt anlegen

Mehr

AVR-Mikrocontroller in BASCOM programmieren, Teil 3

AVR-Mikrocontroller in BASCOM programmieren, Teil 3 jean-claude.feltes@education.lu 1/8 AVR-Mikrocontroller in BASCOM programmieren, Teil 3 Alle Beispiele in diesem Kapitel beziehen sich auf den Mega8. Andere Controller können unterschiedliche Timer haben.

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

A n l e i t u n g. Beamer- Treiber- Download (FBI EB/ 19 )

A n l e i t u n g. Beamer- Treiber- Download (FBI EB/ 19 ) A n l e i t u n g Beamer- Treiber- Download (FBI EB/ 19 ) Kapitel 1: Vorbereitende Schritte......................................... Seite 1 bis 5 Kapitel 2: Auswechseln des Beamertreibers mittels........................

Mehr

www.allround-security.com 1

www.allround-security.com 1 Warnung: Um einem Feuer oder einem Elektronik Schaden vorzubeugen, sollten Sie das Gerät niemals Regen oder Feuchtigkeit aussetzen.. Vorsicht: Wir möchten Sie darauf hinweisen, dass sämtliche Änderungen

Mehr

Maschinenorientierte Programmierung

Maschinenorientierte Programmierung Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 2 Termin 10, 08.12.2015 Maschinenorientierte Programmierung Seite 2 Timer- und Counter-Programmierung Maschinenorientierte

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Compuprint SP40plus. Das SP40plus Remote Setup Programm. Restore MFG. Send Setup. Send Setup. Restore MFG

Compuprint SP40plus. Das SP40plus Remote Setup Programm. Restore MFG. Send Setup. Send Setup. Restore MFG Das SP40plus Remote Setup Programm Das SP40plus Remote Setup Programm ist ein kleines schweizer Messer, das Ihnen den Umgang mit dem Produkt erleichtert, sei es, dass Sie als Techniker mehrere Geräte aktualisieren

Mehr

Sicheres C Programmieren in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011

Sicheres C Programmieren in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011 Sicheres C in Embedded Systemen ARM II (ARM7TMDI [1] ) Wintersemester 2010-2011 Dipl. Ing. (FH) Ebrecht Roland, Infineon Technologies AG M.Eng (Electronic Systems) Güller Markus, Infineon Technologies

Mehr

Dokument Information. Hilfe für. ArmorToken. Hilfe für ArmorToken Seite 1 von 8

Dokument Information. Hilfe für. ArmorToken. Hilfe für ArmorToken Seite 1 von 8 Dokument Information Hilfe für ArmorToken Hilfe für ArmorToken Seite 1 von 8 Inhalt Inhalt 1 Hilfe für ArmorToken... 3 1.1.1 Willkommen bei ArmorToken... 3 1.1.2 ArmorToken installieren... 3 1.1.3 Verschlüsseln

Mehr