Elektronische Schaltungstechnik 2

Größe: px
Ab Seite anzeigen:

Download "Elektronische Schaltungstechnik 2"

Transkript

1 Elektronische Schaltungstechnik 2 Sammlung von Fragen und Antworten Einleitung Die Sammlung erhebt keinerlei Anspruch auf Vollständigkeit oder Korrektheit. Sollten Ihnen Fehler auffallen, bitte ich Sie, mir das per mitzuteilen. Version: 1.0 Stand: Erstellt von: Bernhard Geiger (sigurd@sbox.tugraz.at) Bernhard Geiger,

2 Schaltalgebra Wie wird das logische Produkt von logischen Summen genannt? Konjunktive Normalform Wie wird das logische Summe von logischen Produkten genannt? Disjunktive Normalform Verfahren zur grafischen Minimierung von logischen Funktionen? KARNAUGH-VEITSCH-Diagramme Wie viele Zustände können mit einem 10 bit Wort beschrieben werden? 1024 Identität nach DEMORGAN?!(A+B) =!A!B!(AB) =!A +!B Geben Sie bin als Hexadezimalzahl an: 5Eh Distributives Gesetz? Ausmultiplizieren bzw. Ausaddieren : A(B+C)=AB+AC A+BC=(A+B)(A+C) Kommutatives Gesetz? Vertauschbarkeit: AB=BA A+B=B+A Assoziatives Gesetz? Klammern setzen: A(BC)=(AB)C A+(B+C)=(A+B)+C Dualitätsprinzip? Die duale Form einer Gleichung entsteht, wenn Konjunktionen durch Disjunktionen, sowie 1 durch 0 ersetzt werden (und umgekehrt). Was ist negative Logik? Bei negativer Logik wird eine 1 durch einen niedrigeren Pegel repräsentiert als eine 0, z.b. RS232. Was ist positive Logik? Bei positiver Logik wird eine 1 durch einen höheren Pegel repräsentiert als eine 0, z.b. TTL Bernhard Geiger,

3 Logikschaltungen Was bedeutet TTL? Transistor-Transistor-Logik Wie wird die Ausgangsstruktur eines TTL-Gatters noch genannt? Totem Pole bzw. Open Collector Logikpegel eines unbeschalteten TTL-Eingangs? High Wie wird ein taktzustandsgesteuertes D-FF noch genannt? D-Latch Was erhält man durch Kaskadieren von 2 taktzustandsgesteuerten FF mit komplementärem Takt? Master-Slave-FF Was ist ein Schottky-Transistor (Schaltung, Vorteile)? Die Basis-Kollektor-Diode wird mit einer Schottky-Diode (0,3 V) überbrückt, sodass der Transistor nicht mehr in den Sättigungsbereich gelangen kann. Definition der Anstiegszeit? Zeit von 10% des Pegels zu 90% des Pegels. Definition der Fallzeit? Zeit von 90% des Pegels zu 10% des Pegels. Was bedeutet ECL? Emitter coupled logic Grundelement eines ECL-Gatters? Differenzverstärker Kombinatorische Logik Wahrheitstabelle Disjunktion Bernhard Geiger,

4 Wahrheitstabelle XOR-Gatter Wahrheitstabelle Antivalenz Realisierung OR mit NAND Realisierung XOR mit 4 NAND Wodurch können statische Laufzeitfehler entstehen? Wenn ein Signal vor seinem Komplement an einem Gattereingang auftritt. Was bedeutet iterativer Schaltungsentwurf (Blockschaltbild)? Zerlegung eines Problems in kleinere Teilprobleme gleicher Struktur. Kaskadierung der daraus entstandenen Schaltungen. Bernhard Geiger,

5 Codes Wofür steht BCD? Binary Coded Digit Besondere Eigenschaft des Gray-Codes? Einschrittig Was bedeutet einschrittiger Code? Dass sich von einem möglichen Wort zum Nachfolger nur ein bit ändert. Was bedeutet in GRAY-Code? (erste 1 pos, zweite neg., dritte pos., ) 19 Welches Wort folgt auf beim JOHNSON-Code aus 4 Code-Wahrheitstabelle: Was bedeutet als BCD-codierte Zahl? 10000bin=16dez= BCD Anzeigen Wofür steht LED? Light emitting diode Wofür steht LCD Liquid Crystal Display Was passiert, wenn LCD-Anzeigeelemente mit Gleichspannung angesteuert warden? Sie werden zerstört. Ungefähre Durchlassspannung roter LEDs? Ca. 1.7 V Grundmaterialen für LEDs für sichtbare Wellenlängen? Gallium-Arsenid-Phosphid Bezeichnung für LED-Anzeigen mit intern verbundener Anode? Common anode Bernhard Geiger,

6 Schnittstellen Wieviele Übertragungsfehler können mit einem (n) Paritätsbit erkannt werden? Einer (n) Wie viele Übertragungsfehler können mit einem (n) Paritätsbits korrigiert werden? Keiner (n-1) Was bedeutet symmetrisch? Differentielle Übertragung; mindestens zwei Übertragungsleitungen werden benötigt, die Rückwandlung geschieht etwa indem beide Leitungen auf den positiven bzw. negativen Eingang eines Operationsverstärkers geschaltet werden. Was bedeutet unsymmetrisch? Single-ended. Übertragung gegenüber Bezugspotential; es reicht eine Übertragungsleitung aus, wenn beide Transceiver das selbe Bezugspotential haben Logischer Übertragungsrahmen einer UART-Schnittstelle? RS232: Bereich des phys. Pegels für logische 0 beim Sender? 5-15 V RS232: Bereich des phys. Pegels für logische 0 beim Empfänger? 3-25 V RS232: Störabstand? 2 V RS232: Maximaler Kurzschlussstrom? 0,5 A Lichtleiter: Vorteile gegenüber elektrischen Schnittstellen? Hohe Übertragungsgeschwindigkeit Keine Störung durch EM-Felder Potentialtrennung CMOS 3 Arbeitsbereiche bei MOSFETs? Sperrbereich, Sättigungsbereich, linearer Bereich Was passiert im Sperrbereich? Der MOSFET sperrt, da sich im Kanal keine freien Ladungsträger befinden. Bernhard Geiger,

7 Was passiert im linearen Bereich? Der MOSFET verhält sich zwischen Drain und Source wie ein Widerstand Was passiert im Sättigungsbereich? Der MOSFET verhält sich wie eine spannungsgesteuerte Stromquelle. Leistungsaufnahme bei CMOS? Abhängig von Schaltfrequenz, Versorgungsspannung und kapazitiver Last. Was bedeutet CMOS? Complementary Metal Oxide Semiconductor Unterschied zwischen gepufferten und ungepufferten CMOS-Gattern Gepufferte Gatter haben am Ausgang zwei Inverter nachgeschaltet (kleine kapazitive Last am Eingang, große Verstärkung am Ausgang, für digitale Anwendungen). Ungepufferte Gatter werden im Analogbereich benötigt. Aus welchem Material wird das Gate ausgeführt? Polysilizium bzw. Metall Parasitäre Vierschichtelemente bei CMOS? Thyristor Was passiert beim Latch-Up-Zustand? Thyristor schaltet durch und schließt Versorgungsspannung und Masse kurz; Zerstörung des Bauelements Fan out (statisch)? Theoretisch unendlich. Bernhard Geiger,

8 Schaltung NAND in positiver Logik? Schaltung NOR in positiver Logik? Schutz gegen ESD? Warum? Schutz gegen elektrostatische Entladungen erfolgen nach dem Human-Body Modell, bei dem menschliche Berührung durch einen Kondensator (150 pf), einen Serienwiderstand (330 Ohm) und eine maximale Spannung (3000 V) simuliert wird. Bernhard Geiger,

9 Am Eingang müssen also Dioden implementiert werden, die Ströme auf VSS bzw. VDD ableiten können (<10 ma). Am Ausgang übernehmen die Substratdioden diesen Effekt. CMOS-Inverter? Querstrom bei CMOS bei Vin=GND bzw. Vin=Vdd? 0 Transmissionsgatter? Widerstandskurve? Der Widerstand ist von der Kanalspannung abhängig. Offene Eingänge? Immer mit Pull-Ups oder Pull-Downs versehen, damit Einkopplungen keine Pegelwechsel verursachen. Kippstufen Ringoszillator: Ringschaltung von n (ungerade) Invertern Wovon ist die Frequenz beim Ringoszillator abhängig? Von der Anzahl der Inverter (Gatterlaufzeit) und der Betriebsspannung. Was ist ein Schmitttrigger? Komparator mit Hysterese Präzisionsschmitttrigger? Zwei Komparatoren, Referenzspannungen und RS-FF. Bernhard Geiger,

10 Relaxaionsoszillator? Schmitt-Trigger mit RC-Glied in der Rückkopplung Sequentielle Logik Wahrheitstabellen? Schaltung einer asynchron auf 0 oder 1 setzbaren Speicherzelle Wie viele FF benötigt man mindestens für einen modulo938 Zähler? 10 Schaltung 2 bit asynchron Aufwärtszähler? Was bedeutet RS-FF? Reset-Set-Flip Flop Was bedeutet reset-dominantes RS-FF? Reset-dominant bedeutet, dass im verbotenen Zustand (Setzen und Rücksetzen auf 1) der Rücksetzimpuls die tatsächliche Auswirkung auf den Ausgang Q hat. Man kann die Dominanz daraus erkennen, welcher Eingang näher am Ausgang ist. Verbotener Zustand bei RS-FF Setzen und Rücksetzen auf 1 Logische Zustand von J und K für JK-FF als Frequenzteiler? J=K=1 Welche Arten von D-FF werden für Schieberegister benötigt? Taktflankengesteuerte. Welche Arten von D-FF werden für Zähler benötigt? Taktflankengesteuerte. Transiente Zwischenzustände beim Schalten eines asynchronen Aufwärtszählers von 7 auf 8? 7->6->4->0->8 Eigenschaft der transienten Zwischenzustände eines asynchronen Aufwärtszählers? Die Zwischenzustände sind immer kleiner als der aktuelle bzw. der folgende Wert. PLL? Phase Locked Loop Blockschaltbild PLL? VCO? Voltage Controlled Oscillator Bernhard Geiger,

11 Wie könnte man einen VCO implementieren? Als Ringoszillator Verwendung PLL? Quarzstabile Frequenzsynthese, digitale Taktvervielfachung. Speicher Wann wird ein ROM beschrieben? Bei der Herstellung. PROM, EPROM, EEPROM? Electrically Erasable Programmable Read Only Memory Dynamische MOS-Speicherzelle Leckströme verursachen Entladung des Kondensators, deshalb muss dieser in regelmäßigen Abständen durch den Leseverstärker refreshed werden. ADC und DAC Wie viele R mit R bzw. 2R benötigt man für ein 3 bit R/2R-Leiternetz? 2 R, 4 2R Welches Verfahren für genaue DAC? PWM, Sigma-Delta. Was ist ein Aperture Error? Entsteht durch die Unsicherheit des Abtastzeitpunktes bei der ADC. Es lässt sich die maximal abtastbare Frequenz berechnen, wenn man den maximalen Zeitfehler kennt und davon ausgeht, dass der Fehler nicht größer als ein halbes LSB sein darf. Nullpunktfehler Tritt auf, wenn der digitale Nullwert nicht mit der Spannung 0 übereinstimmt. Der ADC wandelt also eine Spannung ungleich 0 in den digitalen Wert 0 um, der DAC einen digitalen Wert 0 in eine Spannung ungleich 0. Verstärkungsfehler Tritt auf, wenn nach dem Offsetabgleich (Nullpunktsabgleich) der höchste digitale Wer nicht mit der definierten höchsten Analogspannung übereinstimmt. Dieser Fehler lässt sich als eine Abweichung der Steigung der Umsetzerkennlinie vom Ideal bezeichnen. DNL Differentielle Nichtlinearität ist der Fehler, der nach Verstärkungs- und Nullpunktsabgleich auftritt. Dabei weicht die Stufenbreite beim ADC (die Stufenhöhe beim DAC) vom idealen Wert (1 LSB) ab. Der differentielle Fehler ist der Fehler pro Stufe. Überschreitet er den Wert eines LSB, kommt es u.u. zu missing codes (ADC) und zu nichtmonotonen Stellen (DAC). Bernhard Geiger,

12 INL Bezeichnet die Abweichung der Umsetzerkennlinie vom Ideal nach Nullpunkts- und Verstärkungsabgleich. Die integrale Nichtlinearität entspricht dem Integral, als der Aufsummierung der differentiellen Nichtlinearität. Digipot mit 8 Positionen Maximale Welligkeit bei welchem Tastgrad? 50% Referenzen Data Conversion Handbook von Walt Kester AN77 CMOS-The ideal logic familiy von Fairchild Semiconductors AN2141 Clock Accuracy for UART von Maxim Semiconductors Logikentwurf und Beispiele von Edwin Krasser Elektronische Schaltungstechnik 2 von IFE Bernhard Geiger,

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Arbeitspunkt einer Diode

Arbeitspunkt einer Diode Arbeitspunkt einer Diode Liegt eine Diode mit einem Widerstand R in Reihe an einer Spannung U 0, so müssen sich die beiden diese Spannung teilen. Vom Widerstand wissen wir, dass er bei einer Spannung von

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V Kojak-Sirene: Experimente zur Funktionsweise 1. astabile Kippstufe 2. astabile Kippstufe Die Schaltung der Kojak-Sirene besteht aus zwei miteinander verbundenen astabilen Kippstufen (Anhang) und einem

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik Humboldt-Universität zu Berlin Institut für Physik 1. Einführung Versuch 8 Digitale Logik Im Unterschied zu analogen oder linearen Schaltungen sind logische Schaltungen zur Übertragung zweier bestimmter

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Anzahl Pseudotedraden: Redundanz: Weitere Eigenschaften?

Anzahl Pseudotedraden: Redundanz: Weitere Eigenschaften? 1. Aufgabe: Aiken-Code Erstellen Sie die Codetabelle für einen Aiken-Code. Dieser Code hat die Wertigkeit 2-4-2-1. Tipp:Es gibt hier mehrere Lösungen, wenn nicht die Bedingung Aiken-Code gegeben wäre.

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Theoretische Grundlagen der Informatik WS 09/10

Theoretische Grundlagen der Informatik WS 09/10 Theoretische Grundlagen der Informatik WS 09/10 - Tutorium 6 - Michael Kirsten und Kai Wallisch Sitzung 13 02.02.2010 Inhaltsverzeichnis 1 Formeln zur Berechnung Aufgabe 1 2 Hamming-Distanz Aufgabe 2 3

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Grundlagen der Datenverarbeitung

Grundlagen der Datenverarbeitung Grundlagen der Datenverarbeitung Bauelemente Mag. Christian Gürtler 5. Oktober 2014 Mag. Christian Gürtler Grundlagen der Datenverarbeitung 5. Oktober 2014 1 / 34 Inhaltsverzeichnis I 1 Einleitung 2 Halbleiter

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Programmierung mit NQC: Kommunikation zwischen zwei RCX

Programmierung mit NQC: Kommunikation zwischen zwei RCX Programmierung mit NQC: Kommunikation zwischen zwei RCX Teil : Grundlagen Martin Schmidt 7. Februar 24 Teil : Grundlagen Zahlensysteme : Binärsystem Ziffern: und Bit = binary digit (Binärziffer) Einfach

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Übung RA, Kapitel 1.2

Übung RA, Kapitel 1.2 Übung RA, Kapitel 1.2 Teil 1: Zahlen und Logik A) Aufgaben zu den ganzen Zahlen 1. Konvertieren Sie die folgenden Zahlen in die Binärform: 1984 Immer durch 2 teilen, der Rest ergibt das Bit. Jeweils mit

Mehr

A-196 PLL. 1. Einführung VCO. LPF Frequ. doepfer System A - 100 PLL A-196

A-196 PLL. 1. Einführung VCO. LPF Frequ. doepfer System A - 100 PLL A-196 doepfer System A - 100 PLL A-196 1. Einführung A-196 PLL VCO CV In Offset Das Modul A-196 enthält eine sogenannte Phase Locked Loop (PLL) - im deutschen mit Nachlaufsynchronisation bezeichnet, die aus

Mehr

2 Gleichstrom-Schaltungen

2 Gleichstrom-Schaltungen für Maschinenbau und Mechatronik Carl Hanser Verlag München 2 Gleichstrom-Schaltungen Aufgabe 2.1 Berechnen Sie die Kenngrößen der Ersatzquellen. Aufgabe 2.5 Welchen Wirkungsgrad hätte die in den Aufgaben

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

ELEXBO A-Car-Engineering

ELEXBO A-Car-Engineering 1 Aufgabe: -Bauen Sie alle Schemas nacheinander auf und beschreiben Ihre Feststellungen. -Beschreiben Sie auch die Unterschiede zum vorherigen Schema. Bauen Sie diese elektrische Schaltung auf und beschreiben

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Das komplette Material finden Sie hier: Download bei School-Scout.de

Mehr

Elektronik- und Messtechniklabor, Messbrücken. A) Gleichstrom-Messbrücken. gespeist. Die Brücke heisst unbelastet, weil zwischen den Klemmen von U d

Elektronik- und Messtechniklabor, Messbrücken. A) Gleichstrom-Messbrücken. gespeist. Die Brücke heisst unbelastet, weil zwischen den Klemmen von U d A) Gleichstrom-Messbrücken 1/6 1 Anwendung und Eigenschaften Im Wesentlichen werden Gleichstrommessbrücken zur Messung von Widerständen eingesetzt. Damit können indirekt alle physikalischen Grössen erfasst

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Tabelle 139: DO479 Bestelldaten. Produktbezeichnung C-UL-US gelistet B&R ID-Code $40 Anzahl der Ausgänge gesamt in 2 Gruppen zu

Tabelle 139: DO479 Bestelldaten. Produktbezeichnung C-UL-US gelistet B&R ID-Code $40 Anzahl der Ausgänge gesamt in 2 Gruppen zu 8.2 DO479 8.2.1 Allgemeines Die DO479 ist ein Standard-Digitalausgangsmodul. 8.2.2 Bestelldaten Bestellnummer Kurzbeschreibung Abbildung 3DO479.6 2005 Digitales Ausgangsmodul, 16 Transistor-Ausgänge 24

Mehr

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W Sie haben für diesen 50 Minuten Zeit. Die zu vergebenen Punkte sind an den Aufgaben angemerkt. Die Gesamtzahl beträgt 20 P + 1 Formpunkt. Bei einer Rechnung wird auf die korrekte Verwendung der Einheiten

Mehr

Mathematik. UND/ODER Verknüpfung. Ungleichungen. Betrag. Intervall. Umgebung

Mathematik. UND/ODER Verknüpfung. Ungleichungen. Betrag. Intervall. Umgebung Mathematik UND/ODER Verknüpfung Ungleichungen Betrag Intervall Umgebung Stefan Gärtner 004 Gr Mathematik UND/ODER Seite UND Verknüpfung Kommentar Aussage Symbolform Die Aussagen Hans kann schwimmen p und

Mehr

Elektrische Spannung und Stromstärke

Elektrische Spannung und Stromstärke Elektrische Spannung und Stromstärke Elektrische Spannung 1 Elektrische Spannung U Die elektrische Spannung U gibt den Unterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

5.1.0 Grundlagen 5.2.0 Dioden

5.1.0 Grundlagen 5.2.0 Dioden 5.0 Halbleiter 5.1.0 Grundlagen 5.2.0 Dioden 5.3.0 Bipolare Transistoren 5.4.0 Feldeffekttransistoren 5.5.0 Integrierte Schaltungen 5.6.0 Schaltungstechnik 5.1.0 Grundlagen Was sind Halbleiter? Stoffe,

Mehr

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de

Wachstum 2. Michael Dröttboom 1 LernWerkstatt-Selm.de 1. Herr Meier bekommt nach 3 Jahren Geldanlage 25.000. Er hatte 22.500 angelegt. Wie hoch war der Zinssatz? 2. Herr Meiers Vorfahren haben bei der Gründung Roms (753. V. Chr.) 1 Sesterze auf die Bank gebracht

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Übungsaufgaben zum 5. Versuch 13. Mai 2012

Übungsaufgaben zum 5. Versuch 13. Mai 2012 Übungsaufgaben zum 5. Versuch 13. Mai 2012 1. In der folgenden Schaltung wird ein Transistor als Schalter betrieben (Kennlinien s.o.). R b I b U b = 15V R c U e U be Damit der Transistor möglichst schnell

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode Dioden - Anwendungen vereinfachte Diodenkennlinie Für die meisten Anwendungen von Dioden ist die exakte Berechnung des Diodenstroms nach der Shockley-Gleichung nicht erforderlich. In diesen Fällen kann

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk.

Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk. Ablaufsteuerung Sequenzer, als Schaltstufen für den Amateurfunk. DF1JM Juli 2011 Eine Sequenz ist eine Reihenfolge. Auf den Amateurfunk bezogen sind Sequenzer Schaltstufen, die in einer festgelegten Zeit-

Mehr

7. Unipolare Transistoren, MOSFETs

7. Unipolare Transistoren, MOSFETs 7.1. Funktionsweise Die Bezeichnung MOSFET (Metal Oxide Semiconductor Field Effect Transistor) deutet auf den Aufbau dieses Transistors hin: Das Halbleiterelement ist mit einer sehr dünnen, isolierenden

Mehr

Das Formelzeichen der elektrischen Spannung ist das große U und wird in der Einheit Volt [V] gemessen.

Das Formelzeichen der elektrischen Spannung ist das große U und wird in der Einheit Volt [V] gemessen. Spannung und Strom E: Klasse: Spannung Die elektrische Spannung gibt den nterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei Pole, mit unterschiedlichen Ladungen. uf der

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

Messung der Ausgangsspannung an einem FU

Messung der Ausgangsspannung an einem FU Messung der Ausgangsspannung an einem FU Referent: Werner Käsmann Fluke Deutschland GmbH w.kaesmann@fluke.com D 79286 Glottertal Leider gibt es heute noch Motoren, welche ohne Drehzahlregelung betrieben

Mehr

1.3.2 Resonanzkreise R L C. u C. u R. u L u. R 20 lg 1 , (1.81) die Grenzkreisfrequenz ist 1 RR C . (1.82)

1.3.2 Resonanzkreise R L C. u C. u R. u L u. R 20 lg 1 , (1.81) die Grenzkreisfrequenz ist 1 RR C . (1.82) 3 Schaltungen mit frequenzselektiven Eigenschaften 35 a lg (8) a die Grenzkreisfrequenz ist Grenz a a (8) 3 esonanzkreise 3 eihenresonanzkreis i u u u u Bild 4 eihenresonanzkreis Die Schaltung nach Bild

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 4 10 am 14.03.1997

Aufg. P max 1 10 Klausur Elektrotechnik 2 14 3 8 4 10 am 14.03.1997 Name, Vorname: Matr.Nr.: Hinweise zur Klausur: Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 6141 4 10 am 14.03.1997 5 18 6 11 Σ 71 N P Die zur Verfügung stehende Zeit beträgt 1,5 h. Zugelassene Hilfsmittel

Mehr

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der

Sichere E-Mail Anleitung Zertifikate / Schlüssel für Kunden der Sparkasse Germersheim-Kandel. Sichere E-Mail. der Sichere E-Mail der Nutzung von Zertifikaten / Schlüsseln zur sicheren Kommunikation per E-Mail mit der Sparkasse Germersheim-Kandel Inhalt: 1. Voraussetzungen... 2 2. Registrierungsprozess... 2 3. Empfang

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr