13.3 Datenpfade zur Befehlsdurchführung

Größe: px
Ab Seite anzeigen:

Download "13.3 Datenpfade zur Befehlsdurchführung"

Transkript

1 13.3 atenpfade zur Befehlsdurchführung Bernd Becker Technische nformatik Grober ufbau der E-T- /O CPU C Speicher BB - T 13.3/2 1

2 CPU, Memory und ihr Zusammenspiel! 3 Busse:! 32 Bit breiter atenbus = [31,0]! 32 Bit breiter dressbus = [31,0]! Kontrollbus C (Breite später festgelegt) " 3-Bus-rchitektur! /O-Einheiten sind wie Memory an CPU angeschlossen (später behandelt) BB - T 13.3/3 CPU, Memory und ihr Zusammenspiel (ff)! 2 sich abwechselnde Phasen der CPU:! Fetch-Phase: ädt nächsten auszuführenden Befehl aus Memory in nstruktionsregister der CPU! Execute-Phase: Befehl, der in steht, wird ausgeführt efinition der Verbindungen zwischen den einzelnen Komponenten (=atenpfade) durch iagramme. BB - T 13.3/4 2

3 Speicher SM - graphisch 32 SMd in out 32 SM SMd BB - T 13.3/5 Speicher = statisches M SM atenein- und ausgänge mit atenbus verbunden, dressleitungen mit dressbus verbunden. Treiber SMd immer enabled " eduktion des Fanout auf -Bus. ( Namenskonvention für Treiber: Treiber zwischen Bus/Baustein X und Bus Y: XYd ) BB - T 13.3/6 3

4 atenpfade der CPU - graphisch d 0 32 PC N1 N2 CC d PCd N1d N2d CCd CCd 0d d d Ud PCd U d Ud atenpfade der CPU CPU besteht aus:! Zähler PC! 3 für Benutzer sichtbare egister CC, N1, N2 und nstruktionsregister! U! CPU-interne Busse!, für linken bzw. rechten Operanden der U! interner atenbus egister, PC, U, Busse und Treiber sind 32 Bit breit. 0d und 0d können 0 32 auf bzw. legen. BB - T 13.3/8 4

5 atenpfade der CPU (ff) Verfeinerung des iagramms an Stelle Bits [31,24] sollen nie auf bzw. gelegt werden. uf soll 0 8 [23:0] gelegt werden und auf je nach Operation der U 0 8 [23:0] oder sext([23:0]). BB - T 13.3/9 atenpfade der CPU (ff) Ersetze also durch: [31:24] 8 sext fill 24 [23] [23:0] [22:0]

6 atenpfade der CPU (ff) sext ist ein Kontrollsignal, das aktiv (=1) ist, falls sext([23:0]) gebraucht wird. ndernfalls wird fill mit 0 8 belegt. BB - T 13.3/11 Zu zeigen: atenpfade genügen, um Fetch-Phase und barbeitung aller Befehle in Execute-Phase durchzuführen. (anach: Berechne Kontrollsignale zur Steuerung der Treiber, U, zum Takten der egister usw.) BB - T 13.3/12 6

7 atenpfade: Fetch d 0 32 PC N1 N2 CC d PCd N1d N2d CCd CCd 0d d d Ud PCd U d Ud Fetch PC S PCd 7

8 atenpfade: Compute mmediate d 0 32 PC N1 N2 CC d PCd N1d N2d CCd CCd 0d d d Ud PCd U d Ud Compute immediate CC CCd d Ud U 8

9 Compute memory CC CCd d Ud S U d JUMP PC PCd d Ud U 9

10 O i CC d d S ON1 i N1 CC d N1d d S U Ud 10

11 O i 0 32 CC 0d d Ud U STOE i CC CCd d S 11

12 STOEN1 i N1 CC N1d CCd U d S Ud MOVE CC N1 N1 CC 0 32 CCd 0d Ud U 12

13 nsgesamt gilt also " atenpfade genügen, Busse müssen zur gleichen Zeit nicht mehrfach benutzt werden. BB - T 13.3/25 Zusätzliche Befehle...die man ohne zusätzliche Hardware realisieren kann:! oad-befehle, bei denen die aten in ein beliebiges egister r {PC, N1, N2, CC} geladen werden! Zur Kodierung verwende zusätzliches -Feld bei oad-befehlen, das bisher ungenutzt war! " Befehle O r i etc für r {PC, N1, N2, CC} BB - T 13.3/26 13

14 Zusätzliche Befehle (ff)! Ebenso Compute-Befehle mit beliebigem egister als Ergebnisregister Nutze auch hier bisher ungenutztes -Feld Bemerkung: Bei oad/compute mit Parameter r = PC entfällt <PC> := <PC> + 1 Befehlsvorrat siehe atenblatt BB - T 13.3/27 ealisierung der Komponenten mit FST-Bausteinen! egister: 4 x 8-Bit-egister 74F374! PC: 8 4-Bit-Zähler 74F163 kaskadiert! U: 8 4-Bit-Us 74F382 kaskadiert! Treiber:! egister mit Treiber auf nur 1 Bus (1,2): Benutze interne Treiber von 74F374! andere egister: interne Treiber permanent enabled, externe Treiber: 4 x 8-Bit-Treiber 74F244 BB - T 13.3/28 14

15 ealisierung der Komponenten mit FST-Bausteinen (ff)! Speicher SM: CY7C191 = 2 16 x 4-SM Um Wortbreite 32 zu erreichen: 8 x CY7C191 " vorläufig nur Zellen mit dresse { 0,..., } Bei dresse 2 16 : gnoriere oberste 16 dressbits, d.h. Zugriff auf dresse mod 2 16 BB - T 13.3/29 Zu generierende Kontrollsignale:! Clocksignale für alle egister r, Bez.: rck! Output enable Signale (active low) für alle Treiber XYd, Bez.: /Xydœ! Funktions-Select-Signale f[2:0] zum Selektieren der Funktion, die von U ausgeführt wird BB - T 13.3/30 15

16 Zu generierende Kontrollsignale (ff)! Eingangsübertrag c in der U! Signale /PC clear, /PC load für PC! sext zur Berechnung der Füllbits bei 24-Bit- mmediate-konstanten! Schreibsignal (active low) /SMw für Speicher BB - T 13.3/31 16

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Das Prinzip an einem alltäglichen Beispiel

Das Prinzip an einem alltäglichen Beispiel 3.2 Pipelining Ziel: Performanzsteigerung é Prinzip der Fließbandverarbeitung é Probleme bei Fließbandverarbeitung BB TI I 3.2/1 Das Prinzip an einem alltäglichen Beispiel é Sie kommen aus dem Urlaub und

Mehr

Instruktionssatz-Architektur

Instruktionssatz-Architektur Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Übersicht 1 Einleitung 2 Bestandteile der ISA 3 CISC / RISC Übersicht 1 Einleitung 2 Bestandteile

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note:

Name: ES2 Klausur Thema: ARM 25.6.07. Name: Punkte: Note: Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 95 min. Name nicht vergessen! Geben Sie alle Blätter ab. Die Reihenfolge der Aufgaben ist unabhängig vom Schwierigkeitsgrad. Erlaubte Hilfsmittel

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 4 Prozessor Einzeltaktimplementierung Lothar Thiele Computer Engineering and Networks Laboratory Vorgehensweise 4 2 Prinzipieller Aufbau Datenpfad: Verarbeitung und Transport von

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Brückenkurs / Computer

Brückenkurs / Computer Brückenkurs / Computer Sebastian Stabinger IIS 23 September 2013 Sebastian Stabinger (IIS) Brückenkurs / Computer 23 September 2013 1 / 20 Content 1 Allgemeines zum Studium 2 Was ist ein Computer? 3 Geschichte

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Parallelrechner (1) Anwendungen: Simulation von komplexen physikalischen oder biochemischen Vorgängen Entwurfsunterstützung virtuelle Realität

Parallelrechner (1) Anwendungen: Simulation von komplexen physikalischen oder biochemischen Vorgängen Entwurfsunterstützung virtuelle Realität Parallelrechner (1) Motivation: Bedarf für immer leistungsfähigere Rechner Leistungssteigerung eines einzelnen Rechners hat physikalische Grenzen: Geschwindigkeit von Materie Wärmeableitung Transistorgröße

Mehr

Protokoll zum Versuch 146

Protokoll zum Versuch 146 Elektronik-Praktikum am Dritten Physikalischen Institut der Universität Göttingen Wintersemester 2005/2006 Protokoll zum Versuch 146 Messgerätesteuerung über IEC-Bus Name: Georg Herink, Hendrik Söhnholz

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Welche Schritte sind nötig, um ein Update per Computer durchzuführen?

Welche Schritte sind nötig, um ein Update per Computer durchzuführen? Flashanleitung Vorraussetzung für ein Update über den PC - Windows PC (XP, VISTA, 7) - 32-Bit- oder 64-Bit-Betriebssystem - Bildschirmauflösung: mind. 1024 x 768 px Welche Schritte sind nötig, um ein Update

Mehr

Vorlesung: Technische Informatik 3

Vorlesung: Technische Informatik 3 Rechnerarchitektur und Betriebssysteme zhang@informatik.uni-hamburg.de Universität Hamburg AB Technische Aspekte Multimodaler Systeme zhang@informatik.uni-hamburg.de Inhaltsverzeichnis 4. Computerarchitektur........................235

Mehr

Betriebssysteme. Dipl.-Ing.(FH) Volker Schepper

Betriebssysteme. Dipl.-Ing.(FH) Volker Schepper Speicherverwaltung Real Mode Nach jedem starten eines PC befindet sich jeder x86 (8086, 80386, Pentium, AMD) CPU im sogenannten Real Mode. Datenregister (16Bit) Adressregister (20Bit) Dadurch lassen sich

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

5. Digitale Schnittstellen und Vernetzung im Überblick

5. Digitale Schnittstellen und Vernetzung im Überblick 5. Digitale Schnittstellen und Vernetzung im Überblick 5.1 Schnittstellen für Computerperipherie speziell: USB, FireWire 5.2 Drahtgebundene Netztechnologien z.b. Ethernet, ATM 5.3 Drahtlose Netztechnologien

Mehr

Programmierung Paralleler Prozesse

Programmierung Paralleler Prozesse Vorlesung Programmierung Paralleler Prozesse Prof. Dr. Klaus Hering Sommersemester 2007 HTWK Leipzig, FB IMN Sortierproblem Gegeben: Menge M mit einer Ordnungsrelation (etwa Menge der reellen Zahlen) Folge

Mehr

Mutterplatine, Hauptplatine, Systemplatine, Systemboard

Mutterplatine, Hauptplatine, Systemplatine, Systemboard Motherboard Motherboard: Synonyme Motherboard: Definition Was ist untergebracht: Mutterplatine, Hauptplatine, Systemplatine, Systemboard Kernstück eines Computers, worauf alle internen Hardwarekomponenten

Mehr

CAN-Logger-Utility für programmierbare Anzeigegeräte. Einleitung, Installation, Bedienung. MKT Systemtechnik

CAN-Logger-Utility für programmierbare Anzeigegeräte. Einleitung, Installation, Bedienung. MKT Systemtechnik CAN-Logger-Utility für programmierbare Anzeigegeräte Einleitung, Installation, Bedienung MKT Systemtechnik Autor: Wolfgang Büscher Dipl.-Ing. Soft- und Hardware-Entwicklung buescher@mkt-sys.de Stand: 2013-04-25

Mehr

Praktikum Mikrocomputertechnik

Praktikum Mikrocomputertechnik TPU (Time Processor Unit) Die TPU ist ein Coprozessor für Timingaufgaben, sie arbeitet parallel zum Hauptprozessor (Host), um zum Beispiel PWM-Signale zu generieren. Die TPU besitzt eine Reihe festprogrammierter

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

PCI 8164. 4-Achsen-Motion-Controller für Schrittmotoren und Servomotoren. Manual 1221-A002 D

PCI 8164. 4-Achsen-Motion-Controller für Schrittmotoren und Servomotoren. Manual 1221-A002 D PCI 8164 4-Achsen-Motion-Controller für Schrittmotoren und Servomotoren Manual 1221-A002 D phytron PCI 8164 4-Achsen-Motion-Controller für Schrittmotoren und Servomotoren Kurzbeschreibung Vorläufige Ausgabe

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13

Enterprise Computing Einführung in das Betriebssystem z/os. Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 UNIVERSITÄT LEIPZIG Enterprise Computing Einführung in das Betriebssystem z/os Prof. Dr. Martin Bogdan Prof. Dr.-Ing. Wilhelm G. Spruth WS2012/13 Verarbeitungsgrundlagen Teil 2 Virtual Storage el0100 copyright

Mehr

Lektion 3: Was ist und was kann ein Computer?

Lektion 3: Was ist und was kann ein Computer? Lektion 3: Was ist und was kann ein Computer? Helmar Burkhart Informatik burkhart@ifi.unibas.ch EINFÜHRUNG IN DIE INFORMATIK I 3-0 Übersicht Lektion 3 Hardware Software Aufbau eines Computers Rechnerkern

Mehr

Ergänzungen zum Manual OS V 2.05/2.06

Ergänzungen zum Manual OS V 2.05/2.06 Ergänzungen zum Manual OS V 2.05/2.06 SYSTEMRESOURCEN - PROGRAMM DOWNLOAD - Ab der Betriebssystemversion 2.05 haben die C-Control Units M-2.0 und Station 2.0 die Möglichkeit das Anwenderprogramm von einem

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Anleitung zur Inbetriebnahme eines SQ-Robotersystems

Anleitung zur Inbetriebnahme eines SQ-Robotersystems Anleitung zur Inbetriebnahme eines SQ-Robotersystems - 1 - Mitsubishi Electric Europe B.V. In dieser Anleitung wird die Anbindung einer Roboter CPU an das iq System beschrieben. SPS-CPU + Roboter-CPU +

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

On-Board Fahrzeugdiagnose

On-Board Fahrzeugdiagnose 1 Übersicht 1.Einführung 2.Bussysteme 3.OBD II 4.Zusammenfassung 2 Einführung Haupteinsatzbereiche elektronischer Systeme in Fahrzeugen: Motorsteuerung (Zündung,Einspritzung,...) Steuerung des Fahrverhaltens

Mehr

Teil I: Wat isse ne Mikrokontroller?

Teil I: Wat isse ne Mikrokontroller? Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil I: Wat isse ne Mikrokontroller? Wat

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

Im Original veränderbare Word-Dateien

Im Original veränderbare Word-Dateien Das Von-Neumann-Prinzip Prinzipien der Datenverarbeitung Fast alle modernen Computer funktionieren nach dem Von- Neumann-Prinzip. Der Erfinder dieses Konzeptes John von Neumann (1903-1957) war ein in den

Mehr

Systeme I: Betriebssysteme Kapitel 4 Prozesse. Maren Bennewitz

Systeme I: Betriebssysteme Kapitel 4 Prozesse. Maren Bennewitz Systeme I: Betriebssysteme Kapitel 4 Prozesse Maren Bennewitz Version 20.11.2013 1 Begrüßung Heute ist Tag der offenen Tür Willkommen allen Schülerinnen und Schülern! 2 Wdhlg.: Attributinformationen in

Mehr

Gruppe 1... 1 Grundlegende Konfiguration... 1 Übersicht Routerbefehle... 2 Schlussendliche Konfiguration... 2 TFTP-Server... 5 Gruppe 2...

Gruppe 1... 1 Grundlegende Konfiguration... 1 Übersicht Routerbefehle... 2 Schlussendliche Konfiguration... 2 TFTP-Server... 5 Gruppe 2... Routerkonfiguration Innerhalb unseres Trialnet-Workshops konfigurierten 2 Gruppen eine Cisco 3620 Router. Ihre Erfahrungen sind in diesem Dokument gesammelt. Die Dokumentation ist in die einzelnen Gruppen

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Angewandte Informatik

Angewandte Informatik Angewandte Informatik Teil 2.1 Was ist Hardware? Die Zentraleinheit! 1 von 24 Inhaltsverzeichnis 3... Was ist Hardware? 4... Teile des Computers 5... Zentraleinheit 6... Die Zentraleinheit 7... Netzteil

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Betriebssysteme Aufgaben Management von Ressourcen Präsentation einer einheitlichen

Mehr

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------

Beschreibung: SPEICHER: --------- GRUNDPLATINE AC 1: ------------------ Beschreibung: M O D U L 1 SPEICHERVERWALTUNG AC 1 ------------------------------------------------------------- Weiterhin Anleitung: Programmpaket X V.2 -------------------------------------------------------------

Mehr

SCHNELLSTARTANLEITUNG DEUTSCH WWW.AKAIPRO.COM

SCHNELLSTARTANLEITUNG DEUTSCH WWW.AKAIPRO.COM SCHNELLSTARTANLEITUNG DEUTSCH WWW.AKAIPRO.COM SCHACHTELINHALT MPD18 USB-Kabel Software-CD SOFTWARE-CD Sicherheitshinweise & Garantie PC Anwender: Um den Akai Professional MPD18 Editor zu installieren,

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Modelling with SystemC

Modelling with SystemC Modelling with SystemC Andrej Eisfeld May 22, 2011 1 Andrej Eisfeld Modelling with SystemC Inhaltsverzeichnis Grundlagen Die eigene CPU Synthese 2 Andrej Eisfeld Modelling with SystemC Fakten Open Source

Mehr

1. Übung - Einführung/Rechnerarchitektur

1. Übung - Einführung/Rechnerarchitektur 1. Übung - Einführung/Rechnerarchitektur Informatik I für Verkehrsingenieure Aufgaben inkl. Beispiellösungen 1. Aufgabe: Was ist Hard- bzw. Software? a Computermaus b Betriebssystem c Drucker d Internetbrowser

Mehr

Der 80535-Übungsrechner

Der 80535-Übungsrechner 6. Für den Test kleinerer Programmodule ohne Berücksichtigung des Echtzeitverhaltens ist der Simulator das geeignete Werkzeug. Durch die Möglichkeit der Einzelschrittausführung sind erste Tests von Programmen

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

Serieller S-Bus reduziertes Protokoll (Level 1)

Serieller S-Bus reduziertes Protokoll (Level 1) 2 Serieller S-Bus reduziertes Protokoll (Level 1) Inhalt - S-Bus RS485 Netzwerk - Beispielaufbau - Reduziertes S-Bus-Protokoll - PG5 Projektvorbereitung - Master / Konfiguration und Programmierung - Master

Mehr

Mikrocontroller - Schnelleinstieg

Mikrocontroller - Schnelleinstieg Mikrocontroller - Schnelleinstieg Am Beispiel des Atmel Mega8 Philipp Fabian Benedikt Maier Mikrocontroller Schnelleinstieg Seite 1 Mikrocontroller - Schnelleinstieg: - Was ist ein Mikrokontroller? - Welche

Mehr

Visualisierung eines Step7-Programmes in WinCC

Visualisierung eines Step7-Programmes in WinCC Simatic WinCC - Panel Seite 1 Visualisierung eines Step7-Programmes in WinCC MPI-Bus Projektierungsrechner mit Simatic Manager und WinCC Multi-Panel Simatic 300 MPI-Bus Reelle Einund Ausgänge Simatic WinCC

Mehr

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1

Digital Design Entwicklung der DRAMs. Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs Richard Roth / FB Informatik und Mathematik Speicher 1 Entwicklung der DRAMs in Zukunft Richard Roth / FB Informatik und Mathematik Speicher 2 DRAM Speicherzelle (Trench Technology)

Mehr

Technische Informatik 2 Adressierungsarten

Technische Informatik 2 Adressierungsarten Technische Informatik 2 Adressierungsarten Prof. Dr. Miroslaw Malek Sommersemester 2009 www.informatik.hu-berlin.de/rok/ca Thema heute X-Adressmaschine 0-Adressmaschine 1-Adressmaschine 2-Adressmaschine

Mehr

Übung -- d001_7-segmentanzeige

Übung -- d001_7-segmentanzeige Übung -- d001_7-segmentanzeige Übersicht: Der Steuerungsablauf für die Anzeige der Ziffern 0 bis 9 mittels einer 7-Segmentanzeige soll mit einer speicherprogrammierbaren Steuerung realisiert werden. Lehrziele:

Mehr

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14

PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 PG5 Starter Training PG5 Core und Webeditor 8 Daniel Ernst DE02 2013-03-14 Einführung Benötigtes Material: Notebook oder Computer PCD1 E-Controller USB Kabel Schulungsplatine Ethernet Kabel Benötigte Software

Mehr

CASH-Interface MC8 - http://www.casino-software.de

CASH-Interface MC8 - http://www.casino-software.de Projekt: CASH-Interface MC8 - http://www.casino-software.de Geldwechsler Software: v1.36 Platine: CI MC8 v4.02 Datum: 09.06.2015 BESCHREIBUNG: Im Servicemenü werden die Kredite für jede Münze und Geldschein

Mehr

5 Speicherverwaltung. bs-5.1 1

5 Speicherverwaltung. bs-5.1 1 5 Speicherverwaltung bs-5.1 1 Pufferspeicher (cache) realer Speicher Primärspeicher/Arbeitsspeicher (memory) Sekundärspeicher/Hintergrundspeicher (backing store) (Tertiärspeicher/Archivspeicher) versus

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 2 Instruktionssatz Lothar Thiele Computer Engineering and Networks Laboratory Instruktionsverarbeitung 2 2 Übersetzung Das Kapitel 2 der Vorlesung setzt sich mit der Maschinensprache

Mehr

Assembler-Programmierung

Assembler-Programmierung Assembler-Programmierung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Assembler-Programmierung 1/48 2012-02-29 Assembler-Programmierung

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

UNIX Dateirechte. Michael Hartmann. 7. Oktober 2015. Linux User Group Augsburg

UNIX Dateirechte. Michael Hartmann. 7. Oktober 2015. Linux User Group Augsburg UNIX Dateirechte Michael Hartmann Linux User Group Augsburg 7. Oktober 2015 Sicherheitskonzept Wie funktioniert eigentlich Sicherheit auf Computern? Sicherheitskonzept https://de.wikipedia.org/wiki/ring_%28cpu%29

Mehr

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01 eil 3: Vom ransistor zum Ein-Chip-ystem ransistoren in der igitaltechnik Gatter Flip-Flops RM Ein-Chip-ystem Hardware-Pyramide EV ystem Zentraleinheit, Peripherie komplee Funktionsbaugr. peicherzellen,

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Die Linux Kernel Virtual Machine - Wo steht der Linux Hypervisor? 2. März 2008

Die Linux Kernel Virtual Machine - Wo steht der Linux Hypervisor? 2. März 2008 Die Linux Kernel Virtual Machine - Wo steht der Linux Hypervisor? 2. März 2008 Jörg Rödel Virtualization - Whats out there? Virtualisierung hat bereits längere Geschichte auf x86 Startete mit VMware Setzte

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

robotron Z 1013 Mikrorechnerbausatz Anschluß einer Alphatastatur VEB Robotron-Elektronik Riesa digitalisiert: U.Zander, 2011 <zander@felix.sax.

robotron Z 1013 Mikrorechnerbausatz Anschluß einer Alphatastatur VEB Robotron-Elektronik Riesa digitalisiert: U.Zander, 2011 <zander@felix.sax. robotron Z 1013 Mikrorechnerbausatz Anschluß einer Alphatastatur VEB Robotron-Elektronik Riesa digitalisiert: U.Zander, 2011 Ansteuerbaugruppen für Alphatastatur K7659 1. Funktionsbeschreibung

Mehr

Sugar Bytes. TransVST VST to AAX Wrapper. Handbuch

Sugar Bytes. TransVST VST to AAX Wrapper. Handbuch Sugar Bytes TransVST VST to AAX Wrapper Handbuch WAS IST TRANSVST... 3 Wichtige Hinweise... 3 Features... 3 INSTALLATION UND AUTORISIERUNG... 3 Autorisierung... 3 Installation /Deinstallation... 4 HAUPTSEITE

Mehr

Steuerungen. 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC)

Steuerungen. 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC) Steuerungen 4 Typen verbindungsprogrammierte Steuerung (VPS), speicherprogrammierte Steuerung (SPS), Mikrokontroller (MC) und Industrie-PCs (IPC) VPS - Funktion der Steuerung in der Schaltungstopologie

Mehr

Micro Automation SIMATIC S7-1200 und LOGO! 0BA7

Micro Automation SIMATIC S7-1200 und LOGO! 0BA7 SIMATIC S7-1200 die neue modulare Kleinsteuerung Automatisierungsforum März 2012 s Micro Automation SIMATIC S7-1200 und LOGO! 0BA7 SIMATIC Controller Burkhard Kolland Promotion Rhein Main Niederlassung

Mehr

Multiple-USB-MIDI-Bridge-Overpass

Multiple-USB-MIDI-Bridge-Overpass D I G I T A L K E Y B O A R D S Multiple-USB-MIDI-Bridge-Overpass Installation- Anleitung Deutsch Einleitung Mumbo ist ein auf Microsoft Windows 2000/XP bassierendes Programm,und stellt dieverbindung

Mehr

Dokumentation IBIS Monitor

Dokumentation IBIS Monitor Dokumentation IBIS Monitor Seite 1 von 16 11.01.06 Inhaltsverzeichnis 1. Allgemein 2. Installation und Programm starten 3. Programmkonfiguration 4. Aufzeichnung 4.1 Aufzeichnung mitschneiden 4.1.1 Inhalt

Mehr

B1 Stapelspeicher (stack)

B1 Stapelspeicher (stack) B1 Stapelspeicher (stack) Arbeitsweise des LIFO-Stapelspeichers Im Kapitel "Unterprogramme" wurde schon erwähnt, dass Unterprogramme einen so genannten Stapelspeicher (Kellerspeicher, Stapel, stack) benötigen

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

AVR-Mikrocontroller in BASCOM programmieren, Teil 3

AVR-Mikrocontroller in BASCOM programmieren, Teil 3 jean-claude.feltes@education.lu 1/8 AVR-Mikrocontroller in BASCOM programmieren, Teil 3 Alle Beispiele in diesem Kapitel beziehen sich auf den Mega8. Andere Controller können unterschiedliche Timer haben.

Mehr

Programmieren. Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 2008/2009. Prof. Dr. Christian Werner

Programmieren. Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 2008/2009. Prof. Dr. Christian Werner Institut für Telematik Universität zu Lübeck Programmieren Kapitel 3: Wie funktioniert ein moderner Computer? Wintersemester 8/9 Prof. Dr. Christian Werner 3- Überblick Typische Merkmale moderner Computer

Mehr

UPC WLAN Installationsanleitung für das Modem TWG 870

UPC WLAN Installationsanleitung für das Modem TWG 870 UPC WLAN Installationsanleitung für das Modem TWG 870 LAN Ethernet Konfiguration (UPC-Internet auf Ihrem PC installieren): 1 Verbinden Sie das eine Ende des Antennenkabels mit der UPC Kabel-Anschlussdose

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

TrekStor - ebook-reader 3.0 - TrekStor (TS) Edition - Firmware-Update

TrekStor - ebook-reader 3.0 - TrekStor (TS) Edition - Firmware-Update TrekStor - ebook-reader 3.0 - TrekStor (TS) Edition - Firmware-Update Es gibt mehrere Versionen der Software (Firmware), da es unterschiedliche Editionen des ebook-reader 3.0 gibt. Um zu überprüfen, welches

Mehr

Übersicht. Virtueller Speicher CPU-Modi Virtuelle Maschinen. ISM SS 2015 - Teil 4/ProtectionI

Übersicht. Virtueller Speicher CPU-Modi Virtuelle Maschinen. ISM SS 2015 - Teil 4/ProtectionI Übersicht Virtueller Speicher CPU-Modi Virtuelle Maschinen 2 Behandelter Bereich: Virtualisierung Syscall-Schnittstelle Ports Server Apps Server Apps Betriebssystem Protokolle Betriebssystem Medien Hardware

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

BEDIENUNGSSANLEITUNG. ba75894d02 02/2014. MultiLab Importer ADD-IN FÜR MICROSOFT EXCEL

BEDIENUNGSSANLEITUNG. ba75894d02 02/2014. MultiLab Importer ADD-IN FÜR MICROSOFT EXCEL BEDIENUNGSSANLEITUNG ba75894d02 02/2014 ADD-IN FÜR MICROSOFT EXCEL 2 ba75894d02 02/2014 Überblick 1 Überblick Der ist ein Add-In für Microsoft Excel, mit dessen Hilfe Daten aus Messgeräten in eine geöffnete

Mehr

Auto-Provisionierung tiptel 31x0 mit Yeastar MyPBX

Auto-Provisionierung tiptel 31x0 mit Yeastar MyPBX Allgemeines Auto-Provisionierung tiptel 31x0 mit Yeastar MyPBX Stand 19.09.2014 Die Yeastar MyPBX Telefonanlagen unterstützen die automatische Konfiguration der tiptel 3110, tiptel 3120 und tiptel 3130

Mehr

SCHNELLSTARTANLEITUNG DEUTSCH WWW.AKAIPRO.COM

SCHNELLSTARTANLEITUNG DEUTSCH WWW.AKAIPRO.COM SCHELLSTARTALEITUG DEUTSCH WWW.AKAIPRO.COM SCHACHTELIHALT LPD8 USB-Kabel Software-CD Sicherheitshinweise & Garantie SOFTWARE-CD PC Anwender: Um den Akai Professional LPD8 Editor zu installieren, öffnen

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a

Mehr

Fachreferat. EFI -BIOS Nachfolger-

Fachreferat. EFI -BIOS Nachfolger- Fachreferat EFI -BIOS Nachfolger- Kurzerläuterung Übersicht EFI - Geschichte Aufbau und Vorteile Grafische Veranschaulichung Was passiert beim direkten einschalten eines Computers? Wie kommt die Intelligenz

Mehr

Produktbeschreibung. MCD Audio Analyzer. Softline. Modline. Funktionen und Merkmale des Produkts. Conline. Boardline. Avidline. Pixline.

Produktbeschreibung. MCD Audio Analyzer. Softline. Modline. Funktionen und Merkmale des Produkts. Conline. Boardline. Avidline. Pixline. Produktbeschreibung Funktionen und Merkmale des Produkts Softline Modline Conline Boardline MCD Audio Analyzer Avidline Pixline Applikation MCD Elektronik GmbH Hoheneichstr. 52 75217 Birkenfeld Telefon

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

Kurs PC AnwenderIn Internetnotizen

Kurs PC AnwenderIn Internetnotizen Kurs PC AnwenderIn Internetnotizen Was ist Internet? Internet ist ein International Netwok d.h. eine internationale Vernetzung von Computers, die die ganze Welt erschließt. Am Internet sind zahlreiche

Mehr

Kapitel 11 Sprachumschaltung / URL Jump

Kapitel 11 Sprachumschaltung / URL Jump 1 Kapitel 11 Sprachumschaltung / URL Jump Kapitel 11 Sprachumschaltung / URL Jump Erstellen einer mehrsprachigen Visualisierung Anlegen einer weiteren CSV Dateien Festlegen welche Texte während der Laufzeit

Mehr

Software-Installation

Software-Installation Software-Installation Hardware und Betriebssystemanforderungen Mindestens Pentium CPU mit 166 MHz und 32 MB RAM, CD-Rom Laufwerk, COM Anschluss Microsoft Windows 95/98, NT 4, 2000 Professional, XP Microsoft

Mehr