Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik. Andreas König. Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz. Wintersemester 2001/2002"

Transkript

1 Andreas König Professur Technische Informatik Fakultät Informatik Technische Universität Chemnitz Wintersemester 2001/2002 Andreas König Folie 9-1 Rekapitulierung zu Kapitel 8 Erweiterung der Betrachtung von kontroll- auf daten-dominierte Verarbeitung Vorstellung relevanter Funktionsblöcke zum Aufbau von Rechenwerken und Datenpfaden Insbesondere Betrachtung grundlegender arithmetischer Funktionseinheiten und deren Besonderheiten: Geschwindigkeit vs. Gatter- und Flächenbedarf Entwurfsfragen für diese sehr regulären Strukturen Steuerung von Rechenabläufen auf den neuen Strukturen Festverdrahtetes und mikroprogrammierbares Steuerwerk Einführung des speicherprogrammierten Rechners (v. Neumann) Grenzen der Beschreibung und Umsetzung von Einheiten und Systemen auf diesem Komplexitätsniveau Andreas König Folie 9-2

2 Vorlesungsgliederung: 1. Einführung 2. Kodierung und Arithmetik 3. Grundlagen der Booleschen Algebra 4. Entwurf zweistufiger kombinatorischer Logik 5. Zieltechnologien und Technologieanpassung 6. Zeitliches Verhalten kombinatorischer Schaltnetze 7. Entwurf sequentieller Schaltwerke 8. Funktionsblöcke digitaler Rechner und Systeme 9. Entwurf von Systemen der 10. Ausblick Andreas König Folie 9-3 Kapitelgliederung: 9. Entwurf von Systemen der 9.1 Bisheriger Stand 9.2 Komplexe programmierbare Logikbausteine 9.3 Hardwarebeschreibungssprachen 8.4 Synthesemöglichkeiten und Design-Flow Andreas König Folie 9-4

3 Bisheriger Stand Bislang wurde der Entwurf von Schaltnetzen und werken behandelt, die einige Dutzend Gatter und Speicherglieder umfassten Die Umsetzung wurde über PSPICE mit diskreten Logikbausteinen (74- Familie) vorgenommen (Verdrahtung, Platz, Verlustleistung, Takt!) Reguläre programmierbare Logikbausteine wurden vorgestellt, die eine günstigere Implementierung komplexerer Systeme erlauben Beispiel einer prinzipiellen PLA, die ggf. auch FFs enthalten können: f 1 x 3 x1 x 3 x1 x 3 x 2 = f 1 f 2 f 3 f 4 Andreas König Folie 9-5 Bisheriger Stand Prinzipieller Entwurfsablauf für PLA-Bausteine: Unterer Teil zugeschnitten auf spezifische Umgebung unter Einsatz von ABEL Idee, Konzept, Grobbeschreibung Funktionstabelle; DNF PLA-Auswahl (anhand der I/O-Erfordernis, ggf. Partitionierung in mehrere PLAs) Texteditor; Logikbeschreibung (Header, Vereinbarungen, Testvektoren) Redesign PARSE/Transformation Programmiergerät Eingesetzter PLD-Schaltkreis Zielschaltung Fusemap Simulation (Vergleich mit Testvektoren) Dokumentation/Archivierung Andreas König Folie 9-6 Keine Extraktion (Laufzeiten)

4 Bisheriger Stand Bislang (sinnvoll) erreichbares Niveau: Verhalten System Algorithmen Struktur Systemspezifikation Register-Transfer Speicher, CPU Algorithmen Logik Subsysteme RT-Beschreibung Funktionsblöcke(ALUs, ) Boolsche Gleichungen Gatter, FlipFlops DGLs Transistoren Maskenebene (Standard)Zellen Floorplan/Makrozellen Cluster/Chip IC-Partitionierung/Chip/Board Geometrie Andreas König Folie 9-7 Bisheriger Stand Der simple Prozessor aus Kapitel 8 hat bereits aufgezeigt, dass das bisherige Spektrum der Beschreibungs-, Entwurfs- und Implementierungsmethoden unzureichend für einen effizienten Entwurf ist Erweiterung der Palette zum Entwurfstil benutzerprogrammierbare Logikbausteine durch komplexe mehrfach programmierbare bzw. rekonfigurierbare Bausteine Effiziente Beschreibung komplexer Digitaler Systeme durch Hardware- Beschreibungssprachen Automatisierung des Entwurfs von der RT-Ebene bzw. durch High-Level- Synthese von der Verhaltensebene Andreas König Folie 9-8

5 Oberbegriff Programmable Logic Devices (PLD), häufig in Bipolartechnologie realisiert Erweiterung von einmal programmierbaren auf mehrfach programmierbare Bausteine unter Verwendung von EPROM-Technologie (Erasable PLD, EPLD) CMOS-Technologie vorteilhaft bzgl. Verlustleistung, Packungsdichte Wiederverwendbar und voll beim Hersteller testbar Typische Angaben: Gatter, 8-48 FF, 15-30ns Delay [Seifart 98] EPLDs bestehen aus einer Anzahl identischer Makrozellen: programmierbare Matrix von UND-Gattern ein ODER-Gatter hoher Eingangszahl, dass aus dem UND-Feld angesteuert wird Ein E/A-Steuerblock (Architektursteuerblock) aus (EP 300/301): (flankengetriggertem) D-FF programmierbaren Mux Andreas König Folie 9-9 Komplexe programmierbare Logikbausteine Vereinfachtes Blockschaltbild einer Makrozelle des EPLDs EP300/301 (nach [Seifart 98]) Clock OE Produktterme 1 DQ I/O Rückkopplungspfade der anderen 7 Makrozellen Andreas König Folie 9-10

6 Prinzipielle Innenschaltung des EPLDs EP300: Vcc 1 DQ Gnd Andreas König Folie 9-11 Komplexe programmierbare Logikbausteine Weiterer Entwicklungsschritt: EEPROM Technologie für EPLDs Vorteil: Schnelleres, einfacheres Löschen, preiswerteres Gehäuse; Variante sogar im Zielsystem durch Zusatzpins programmierbar (ispgal) GAL (Generic Logic Array) von Lattice mit UND-Feld und Makrozelle Output-Logic-Macro-Cell (OLMC) eines GALs (16V8/20V8): PT Mux CLK OE zu Nachbarstufe Gnd Vcc TS Mux UND- Array 1 =1 DQ Out Mux I/O Rückführung Feedback Mux Andreas König Folie 9-12 von Nachbarstufe

7 Komplexe programmierbare Logikanordnungen (CPLDs): Anfang der 90er wurden immer größere Schaltungen auf PLDs entworfen Einzelne der verfügbaren Bausteine genügten nicht mehr zum Entwurf Partitionierung stellte das sonst gute und vorhersagbare Zeitverhalten in Frage Die bisherige UND-ODER-Struktur erlaubt keine gemeinsame Nutzung sowie Expansion von Produkttermen Aufbau aus PAL-Blöcken und Verbindungsstruktur (MACH von AMD): Inputs/Outputs PAL Block PAL Block PAL Block Switch Matrix PAL Block PAL Block PAL Block Inputs/Outputs Andreas König Folie 9-13 Komplexe programmierbare Logikbausteine Aufbau des MACH 120 von AMD (nach [Seiffart 98]): 12 In/Out 12 In/Out 4 dedicated Inputs I/O-Cells I/O-Cells PAL-Block PAL-Block 12 In/Out 12 Macrocells 52x54 AND Logic Array and Logic Allocator 52x54 AND Logic Array and Logic Allocator Switch Matrix x54 AND Logic Array and Logic Allocator 12 Macrocells I/O-Cells 52x54 AND Logic Array and Logic Allocator 12 In/Out 12 Macrocells 12 Macrocells I/O-Cells PAL-Block 4 4 PAL-Block 4 Andreas König Folie ns Delay (EEPROM) 4 Clock Inputs/ 4 dedicated Inputs

8 Feldprogrammierbare Gate Arrays (FPGAs) Actel FPGAs mit Antifuse-Technologie: Logik Module I/O-Buffers, Programming and Test Logic I/O-Buffers, Programming and Test Logic I/O-Buffers, Programming and Test Logic I/O-Buffers, Programming and Test Logic Zusätzliche vertikale Verdrahtungskanäle Verdrahtungskanäle (hor.) Andreas König Folie 9-15 Komplexe programmierbare Logikbausteine Logik-Modul als Grundbaustein der Actel-FPGAs: SOA S 0 S 1 R 0 D 0 D 1 D 2 D 3 2:1 Mux 2:1 Mux 1 2:1 Mux Y 0 1 2:1 Mux 2:1 Mux 1 2:1 Mux Y SOB Beliebige kombinatorische Logik generierbar S Ebenso sequentielle Logik, z.b. einfaches RS-Latch Andreas König Folie 9-16

9 Actel-FPGA Verbindungsstruktur: Logik Module Horizontale Tracks Antifuse (stellt Verbindung her!) Vertikale Tracks Andreas König Folie 9-17 Komplexe programmierbare Logikbausteine In der Actel-FPGA Verbindungsstruktur geht jeder Übergang von einer horizontalen zu einer vertikalen Spur über eine Antifuse Damit verbunden sind resistive und kapazitive Belastung Kritische Pfade durch Zellplatzierung und Verdrahtung mit möglichst wenig Antifuse-Übergängen belasten Erkennbar hängt die Laufzeit in der Logik von vorgenommener Platzierung und Verdrahtung ab Reine Logiksimulation ist jetzt zur Verhaltenseinschätzung nicht mehr ausreichend (Rückgewinnung/Extraktion; Timing-Simulation) Die Actel-Bausteine sind nur einmal programmierbar Andreas König Folie 9-18

10 Andere FPGA-Familie mit reversibler Programmierung durch RAM- Speicherung der Verbindungsstruktur: Xilinx Logic-Cell-Arrays (LCAs) RAM-Organisation als Schieberegister: Download von externem ROM Prinzipielle Architektur: IOB IOB IOB IOB IOB IOB Wiring channels IOB Andreas König Folie 9-19 Komplexe programmierbare Logikbausteine Architektur des Xilinx-I/O-Blocks (XC3020): Programme Controlled Options OUT INV TS INV OUTPUT SOURCE SLEW RATE PASSIVE PULLUP Vcc Enable Output =1 Out Direct In =1 DQ R Output Buffer PAD Registered In Q D R TTL or CMOS Input Buffer Clocks Global Reset Andreas König Folie 9-20

11 Architektur des Xilinx-Configurable-Logic-Blocks (, XC3020): Reset DIN A B C D E Q 1 F Combinational Function Generator G Q 2 DRD Q CE DRD Q CE X Y Clock Clock Enable Andreas König Folie 9-21 Komplexe programmierbare Logikbausteine Funktionsweise des Xilinx-Configurable-Logic-Blocks Sogenanntes Personality RAM erlaubt die Konfiguration des CFG im : Eine Boolesche Funktion aus fünf Variablen kann mit folgenden Eingänge berechnet werden: A; B/Q 1 /Q 2 ; C/Q 1 /Q 2 ; D; E. F und G haben den selben Ausgangswert. 5-bit ungerade Parität: F = A B C D E Zwei unabhängige Funktionen in vier Variablen können für F und G berechnet werden: A; B/Q 1 /Q 2 ; C/Q 1 /Q 2 ; D/E. Beispiel: 2-bit Komparator: (GT) (EQ) F = AC AB DB CD G = ABCD ABCD ABCD ABCD Weiterhin können eingeschränkt bestimmte Funktionen von mehr als fünf Variablen repräsentiert werden. Die Variable E dient zur Auswahl von Ausgangswerten zweier Funktionen jeweils aus: A; B/Q 1 /Q 2 ; C/Q 1 /Q 2 ; D (s. Folgefolien) Andreas König Folie 9-22

12 Veranschaulichung möglicher Konfigurationen des CFG im : A B C D E Q 1 Funktion von 5 Variablen F G Q 2 A B C D E Q 1 Funktion von 4 Variablen F A B C D E Q 1 Funktion von 4 Variablen G Q 2 Q 2 Andreas König Folie 9-23 Komplexe programmierbare Logikbausteine Veranschaulichung möglicher Konfigurationen des CFG im (II): A B C Q 1 Funktion von 4 Variablen D Q 2 E F A B C Q 1 Funktion von 4 Variablen G D Q 2 Andreas König Folie 9-24

13 Zusammenschaltung von s zur Implementierung umfangreicherer Logik Beispiel: Majoritätsfunktion (Gibt eine 1 aus, falls die Hälfte oder mehr der n Eingangsbits gleich 1 sind): Majoritätsfunktion (n=5) Majoritätsfunktion (n=7) 9-bit Parity-Funktion Mehrstufige Logik! Andreas König Folie 9-25 Komplexe programmierbare Logikbausteine Addiererrealisierungen mit s: A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 C in 4 Delays C out C 3 S 3 C 2 S 2 C 1 S 1 C 0 S 0 A 3 B 3 A 2 B 2 A 1 B 1 A 0 B 0 C out S 3 S 2 C 2 S 1 S 0 2 Delays 6 s Kosten Generell Trade-Off: Resourcen vs. Delay Andreas König Folie 9-26

14 Verbindungsstruktur in Xilinx-LCA-Bausteinen Bei der Abbildung einer gewünschten (minimierten) Booleschen Funktion auf ein LCA muss der Entwurf zunächst im Sinne einer Technologieanpassung auf eine minimale Zahl von s abgebildet werden Ist aus einem Schaltplan (oder anderer Beschreibung) heraus dieser Schritt erfolgt, so müssen die Blöcke platziert und verdrahtet werden Für LCAs bedeutet diese eine Zuordnung physischer Array-Positionen zu logischen -Funktionen Die erforderliche Verknüpfung der platzierten s muss durch die Verbindungsstruktur erreichbar sein Es existieren in der Xilinx-Architektur drei Verbindungstypen: Direkte (kurze) Verbindungen zwischen s/iobs General-Purpose-Verbindungen für mittlere und längere Verbindungen Long-Lines für sehr lange Verbindungen bei zeitkritischen Signale Der Vorgang PlaceRoute ist durch die dahinterstehenden NP-vollständigen Optimierungsvorgänge sehr zeitraubend (Typisch: Simulated-Annealing) Andreas König Folie 9-27 Komplexe programmierbare Logikbausteine Prinzipdarstellung der Verbindungsstruktur in Xilinx-LCA-Bausteinen: Vertical Long-Lines Global Long-Line DI B CE A C 0 K E D R X Y DI B CE A C 0 K E D R X Y Anbindung Grundzelle FF Horizontal Long-Lines Switch Matrix Horizontal Long-Lines DI B CE A C 1 K E D R X Y DI B CE A C 1 K E D R X Y Andreas König Folie 9-28

15 Neuere Bausteine der Virtex-Reihe von Xilinx bieten bis zu 8 Millionen Gatteräquivalente Damit sind komplexere ASICs und Prozessoren implementierbar Synthese aus HDL-Beschreibung: Rapid-Prototyping Einschränkungen der Verbindungsstrukturen sind durch die Entwurfswerkzeuge für den Anwender typisch transparent Mit verbesserten Synthesewerkzeugen spielen maßgeschneiderte Anwendungssysteme, z.b. für die Bildverarbeitung eine wachsende Rolle Die sinkende Dauer einer Rekonfiguration der FPGAs lässt eine schritthaltende Veränderung der Konfiguration im Betrieb zu Die hier gegebene Darstellung zeigt nur grobe Prinzipien auf; Für konkrete Bausteine und deren Anwendung sind die Handbücher der Hersteller sowie die on-line verfügbare Information zu konsultieren Gute Zusammenfassung: [Seiffart 98] [Katz 94] Häufig ist Entwurfssoftware (Simulator, Synthesetool) über die Bausteinhersteller verfügbar, ggf. frei aber mit funktionalen oder zeitlichen Einschränkungen Andreas König Folie 9-29 Hardwarebeschreibungssprachen Die wachsende Komplexität Digitaler Systeme und die fortschreitende Entwurfsautomatisierung erforderte rechnergerechte, effiziente Beschreibung Gegenüber graphischer Schaltplaneingabe und Netzlisten haben Hardware- Beschreibungssprachen (Hardware-Description-Languages, HDL) hier eine Schlüsselstellung zur Spezifikation, Simulation und Synthese erlangt Diese ähneln höheren Programmiersprachen, die ergänzt werden um Sprachkonstrukte zur Formulierung hardware-spezifischer Eigenschaften (z.b. parallele Beschaltung von Komponenten) Vergleichbare Ansatzpunkte, z.b. Wiederverwendbarkeit (IP-Gedanke) Integration von HW/SW-Entwicklung (HW/SW-Codesign) Eine Fülle von Sprachdefinitionen für verschiedene Aufgaben: EDIF (Electronic-Design-Interchange-Format) Austausch von Designdaten zwischen Entwerfer/Hersteller ABEL (Advanced Boolean Expression Language) Beschreibung Boolescher Funktionen zur Programmierung von PALs Verilog, VHDL und SystemC: HDLs zur Beschreibung komplexer Systeme (ASICs/Prozessoren) auf verschiedenen Abstraktionsniveaus (Synthese) Andreas König Folie 9-30

16 Hardwarebeschreibungssprachen VHDL (Very-High-Speed-Integrated-Circuit-HDL) (z.b. [Smith 01]) Standardisierte HDL: IEEE Standard VHDL Language Reference Manual (IEEE std ) Hier kann nur das grobe Prinzip des Einsatzes von HDLs am Beispiel von VHDL erläutert werden Anwendung und Umgang mit VHDL sind Gegenstand eigener (Fach-) Veranstaltungen, z.b. für den Entwurf Eingebetteter Systeme VHDL erlaubt die hierarchische Beschreibung digitaler Schaltungen und Systeme unter Verwendung dreier prinzipieller Beschreibungsformen: Strukturbeschreibung Prozedurale Beschreibung Datenflußbeschreibung Eine Schaltungskomponente wird durch ihre Schnittstelle (entity) und ihre interne Organisation (architecture) beschrieben Die architecture kann in einer der drei Beschreibungsformen oder einer Mischform ausgeführt werden (Ziel: Simulation oder Synthese?) Andreas König Folie 9-31 Hardwarebeschreibungssprachen Eine Strukturbeschreibung unter Nutzung einer physikalisch unterlegten Bibliothek erlaubt die Umsetzung der VHDL-Beschreibung in einen Schaltkreis (Maskensatz oder Feldprogrammierung) Beispiel einer Strukturbeschreibung für ein Äquivalenzfunktion: x 1 x 2 y x 1 x 2 y Schaltung Symbol entity Aequivalenz is port (x1, x2: in Bit; y: out Bit); end Aequivalenz Schnittstellenbeschreibung Andreas König Folie 9-32

17 Hardwarebeschreibungssprachen VHDL- Strukturbeschreibung der Äquivalenzfunktion: architecture Strukturbeschreibung of Aequivalenz is component Inv port (a: in Bit; c: out Bit); end component; component Nand2 (a,b: in Bit; c: out Bit); end component; signal x3, x4, x5, x6: Bit; begin g1: Inv port map (x1, x3); g2: Inv port map (x2, x4); g3: Nand2 port map (x1, x2, x5); g4: Nand2 port map (x3, x4, x6); g5: Nand2 port map (x5, x6, y); end Strukturbeschreibung; Andreas König Folie 9-33 Hardwarebeschreibungssprachen VHDL- Verhaltensbeschreibung der Äquivalenzfunktion: architecture Verhaltensbeschreibung of Aequivalenz is begin y <= x1 xnor x2 after 5ns; end Verhaltensbeschreibung; Verhaltensbeschreibung abstrahiert von Struktur, entweder nur simulierbar oder High-Level-Synthese erforderlich Beispiel eines 4:1 Mux: entity VierZuEinsMux is port (d0, d1, d2, d3: in Bit; s: in Integer Range 0 to 3; output: out Bit); end VierZuEinsMux; Andreas König Folie 9-34

18 Hardwarebeschreibungssprachen Fortsetzung des Beispiel eines 4:1 Mux: entity VierZuEinsMux is port (d0, d1, d2, d3: in Bit; s: in Integer Range 0 to 3; output: out Bit); end VierZuEinsMux; architecture Verhaltensbeschreibung of VierZuEinsMux is begin with s select -- erzeugt 4:1 Mux output <= d0 when 0, d1 when 1, d2 when 2 d3 when 3; end Verhaltensbeschreibung; Andreas König Folie 9-35 Hardwarebeschreibungssprachen Implementierung eines 8-bit synchronen Auf/Abzählers in VHDL: entity UpDownCounter is port (d: in Integer Range 0 to 255; clk, ld, up_down: in Bit; q: out Integer Range 0 to 255); end UpDownCounter; architecture a of UpDownCounter is begin process (clk) variable cnt: Integer Range 0 to 255; variable direction: Integer; begin if (up_down = 1 ) then direction := 1; else direction :=-1; end if; if (clk Event and clk= 1 ) then if ld= 0 then cnt := d; else cnt := cnt +direction; end if; end if; q <= cnt; end process; end a; Andreas König Folie 9-36

19 Hardwarebeschreibungssprachen Möglichkeit der Beschreibung einer FSM (2 Zustände) [Altera 96]: entity architecture a of FSM2 is entityfsm2 is is type state_type is (s0, s1); signal state : state_type; end endfsm2; begin process (clk) begin if (reset = 1 ) then state <= s0; elsif (clk Event and clk= 1 ) then case state is when s0 => state <= s1; when s1 => if (input = 1 ) state <= s0; else state <= s1; end if; end case; end if; end process; output <= 1 when state = s1 else 0 ; end a; port port (clk, (clk, input, input, reset reset :: in in Bit; Bit; output: output: out outbit); Andreas König Folie 9-37 reset=1 S S1 1 0 Hardwarebeschreibungssprachen Vorteile einer HDL sollten erkennbar geworden sein Jedoch: Algorithmen und Systeme, z.b. zur Signalverarbeitung, werden typisch in einer Hochsprache wie C/C++ auf programmierbaren GP- Prozessoren oder DSPs entwickelt Umsetzung erfordert Erlernen der HDL bzw. Kooperation zwischen HDL- Designer und Algorithmenentwickler Fehleranfälliger, langsamer und teurer Prozess Testumgebung muss ebenfalls angepasst werden Jede Änderung hat entsprechende Konsequenzen (Aufwand/Kosten/Fehler) Gegenwärtige Entwicklung: Nutzung der Sprache C/C++ mit hardwarebezogenen Erweiterungen als HDL und zur Integration der Arbeitsschritte SystemC hat in kürzester Zeit eine rasante Entwicklung genommen und große industrielle Akzeptanz gefunden Frei verfügbare Entwicklungsumgebung auf Basis normaler C-Compiler Kommerzielle Umgebung von Synopsis mit Synthesewerkzeugen Andreas König Folie 9-38

20 Synthesemöglichkeiten und Design-Flow Ein Entwurfssystem erlaubt die Umsetzung aus einer Spezifikation, z.b. HDL, in eine physikalische Implementierung Beispiel Entwurfssystem Synario (nach [Seiffart 98]): Project Navigator State CAD VHDL ABEL-HDL SCM Waveform-Editor VHDL-Simulation Verilog-Siumlation Synario Entry Waveform-Viewer Synario Simulate Verilog-XL Functional Simulation Post-Route Simulation Process Design - Link, Compile, Optimize - PlaceRoute, Fit Design Zielsysteme: PLD, CPLD, FPGA Andreas König Folie 9-39 Synthesemöglichkeiten und Design-Flow Im Prinzip war das Zusammenwirken von ESPRESSO und PSPICE bereits ein einfaches Entwurfssystem (mit manuellen Arbeitsschritten) Logikbeschreibung wurde minimiert und die resultierende Ausgangsform in ein Gatternetz verfügbarer Gatter (Technologieanpassung) umgesetzt Stimuli wurden über spezielle Symbole in den Schaltplan zur Simulation eingespeist (z.b. Ansteuerung der Zähler) Die Simulation erfolgte mit Verzögerungsmodellen der Gatter (typ.), ohne Berücksichtigung von Verdrahtung (PlaceRoute auf PCB-Ebene) Zeitdiagramme gaben als Waveform-Display das Ergebnis graphisch aus Synopsis, Cadence, Mentor u.a. liefern entsprechende Werkzeuge für den Entwurf Digitaler Systeme Andreas König Folie 9-40

21 Synthesemöglichkeiten und Design-Flow Synthese aus HDL Verhaltensbeschreibung Der gezeigte Ablauf sollte nun nachvollziehbar sein Beispiel: Dekoder Automatische Generierung des physik. Designs Andreas König Folie 9-41

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst

Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst Peter Becker Hochschule Bonn-Rhein-Sieg Fachbereich Informatik peter.becker@h-brs.de Kurzvorlesung am Studieninformationstag, 13.05.2009

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

Künstliches binäres Neuron

Künstliches binäres Neuron Künstliches binäres Neuron G.Döben-Henisch Fachbereich Informatik und Ingenieurwissenschaften FH Frankfurt am Main University of Applied Sciences D-60318 Frankfurt am Main Germany Email: doeben at fb2.fh-frankfurt.de

Mehr

Diplomarbeit. Konzeption und Implementierung einer automatisierten Testumgebung. Thomas Wehrspann. 10. Dezember 2008

Diplomarbeit. Konzeption und Implementierung einer automatisierten Testumgebung. Thomas Wehrspann. 10. Dezember 2008 Konzeption und Implementierung einer automatisierten Testumgebung, 10. Dezember 2008 1 Gliederung Einleitung Softwaretests Beispiel Konzeption Zusammenfassung 2 Einleitung Komplexität von Softwaresystemen

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Schnittstelle DIGI-Zeiterfassung

Schnittstelle DIGI-Zeiterfassung P.A.P.A. die kaufmännische Softwarelösung Schnittstelle DIGI-Zeiterfassung Inhalt Einleitung... 2 Eingeben der Daten... 2 Datenabgleich... 3 Zusammenfassung... 5 Es gelten ausschließlich unsere Allgemeinen

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

Funktionstest Ti2CA Compact

Funktionstest Ti2CA Compact Funktionstest Ti2CA Compact Systemarchitektur Die einfache Ansteuerung, hohe Ausbaustufen, bei geringem Kostenbedarf sowie die hohe Störsicherheit durch kurze Leitungslängen sind wesentliche Vorteile der

Mehr

3D Visualisierung von UML Umgebungsmodellen

3D Visualisierung von UML Umgebungsmodellen 3D Visualisierung von UML Umgebungsmodellen Vortragender: Helmer Krämer Betreuer: Dr. Holger Giese 3D Visualisierung von UML Umgebungsmodellen Krämer Seite 1 Motivation und Anforderungen Das Umgebungsmodell

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Vermeiden Sie es sich bei einer deutlich erfahreneren Person "dranzuhängen", Sie sind persönlich verantwortlich für Ihren Lernerfolg.

Vermeiden Sie es sich bei einer deutlich erfahreneren Person dranzuhängen, Sie sind persönlich verantwortlich für Ihren Lernerfolg. 1 2 3 4 Vermeiden Sie es sich bei einer deutlich erfahreneren Person "dranzuhängen", Sie sind persönlich verantwortlich für Ihren Lernerfolg. Gerade beim Einstig in der Programmierung muss kontinuierlich

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER

SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Fakultät Informatik, Institut für Angewandte Informatik, Professur Technische Informationssysteme SCHNELLEINSTIEG ZUM TOOL NODEBUILDER Betreuer: Dipl.-Ing. A. Cemal Özlük Dipl.-Inf. Uwe Ryssel ALLGEMEINE

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Einführung. Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Vorlesungen zur Komplexitätstheorie. K-Vollständigkeit (1/5)

Einführung. Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Vorlesungen zur Komplexitätstheorie. K-Vollständigkeit (1/5) Einführung 3 Vorlesungen zur Komplexitätstheorie: Reduktion und Vollständigkeit (3) Univ.-Prof. Dr. Christoph Meinel Hasso-Plattner-Institut Universität Potsdam, Deutschland Hatten den Reduktionsbegriff

Mehr

Neues Modul für individuelle Anlagen. Änderung bei den Postleitzahl-Mutationen

Neues Modul für individuelle Anlagen. Änderung bei den Postleitzahl-Mutationen NEWSLETTER APRIL 2015 Neues Modul für individuelle Anlagen Die LESS Informatik hat in Zusammenarbeit mit einem Kunden die Umsetzung des neuen Moduls 1e für die Anwendung von individuelle Anlagen in Angriff

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Konfiguration der tiptel Yeastar MyPBX IP-Telefonanlagen mit Deutsche Telefon Standard AG

Konfiguration der tiptel Yeastar MyPBX IP-Telefonanlagen mit Deutsche Telefon Standard AG Konfiguration der tiptel Yeastar MyPBX IP-Telefonanlagen mit Deutsche Telefon Standard AG Allgemeines Stand 23.06.2015 Diese Anleitung beschreibt die Einrichtung der MyPBX IP-Telefonanlagen des Herstellers

Mehr

Echtzeit- und Regelungstechnische Aspekte bei der automatischen Transformation von Matlab/Simulink in SPS-basierten Steuerungscode

Echtzeit- und Regelungstechnische Aspekte bei der automatischen Transformation von Matlab/Simulink in SPS-basierten Steuerungscode PEARL Workshop 2007 06.12.2007 Echtzeit- und Regelungstechnische Aspekte bei der automatischen Transformation von Matlab/Simulink in SPS-basierten Steuerungscode, Dipl.-Ing. Andreas Wannagat, Prof. Dr.-Ing.

Mehr

Grundbegriffe der Informatik

Grundbegriffe der Informatik Grundbegriffe der Informatik Einheit 15: Reguläre Ausdrücke und rechtslineare Grammatiken Thomas Worsch Universität Karlsruhe, Fakultät für Informatik Wintersemester 2008/2009 1/25 Was kann man mit endlichen

Mehr

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet

C++ Grundlagen. ++ bedeutet Erweiterung zum Ansi C Standard. Hier wird eine Funktion eingeleitet C++ Grundlagen ++ bedeutet Erweiterung zum Ansi C Standard Hier wird eine Funktion eingeleitet Aufbau: In dieser Datei stehen die Befehle, die gestartet werden, wenn das Programm gestartet wird Int main()

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Codes und Informationsgehalt

Codes und Informationsgehalt Aufgaben 2 Codes und Informationsgehalt Auf wie viele Dezimalziffern genau können vorzeichenlose ganze Zahlen in einem binären Code der Länge 32 bit dargestellt werden? 2 Codes und Informationsgehalt Auf

Mehr

Import des Out of Office Status von Exchange in LANDESK Service Desk

Import des Out of Office Status von Exchange in LANDESK Service Desk LANDESK Tech Tipp April 2016 Import des Out of Office Status von Exchange in LANDESK Service Desk Sie möchten einem Kollegen aus der IT-Abteilung einen Incident zuweisen, der keines Falls liegen bleiben

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

How-to: Webserver NAT. Securepoint Security System Version 2007nx

How-to: Webserver NAT. Securepoint Security System Version 2007nx Securepoint Security System Inhaltsverzeichnis Webserver NAT... 3 1 Konfiguration einer Webserver NAT... 4 1.1 Einrichten von Netzwerkobjekten... 4 1.2 Erstellen von Firewall-Regeln... 6 Seite 2 Webserver

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

.. für Ihre Business-Lösung

.. für Ihre Business-Lösung .. für Ihre Business-Lösung Ist Ihre Informatik fit für die Zukunft? Flexibilität Das wirtschaftliche Umfeld ist stärker den je im Umbruch (z.b. Stichwort: Globalisierung). Daraus resultierenden Anforderungen,

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Zellulare Neuronale Netzwerke

Zellulare Neuronale Netzwerke Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Zellulare Neuronale Netzwerke Florian Bilstein Dresden, 13.06.2012 Gliederung 1.

Mehr

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n

Select & Preprocessing Cluster. SPP Server #1. SPP Server #2. Cluster InterConnection. SPP Server #n C5000 High Performance Acquisition System Das C5000 System wurde für Messerfassungs- und Auswertungssystem mit sehr hohem Datenaufkommen konzipiert. Typische Applikationen für das C5000 sind große Prüfstände,

Mehr

Agiles Design. Dr.-Ing. Uwe Doetzkies Gesellschaft für Informatik mail: gi@uwe.doetzkies.de

Agiles Design. Dr.-Ing. Uwe Doetzkies Gesellschaft für Informatik mail: gi@uwe.doetzkies.de Agiles Design Dr.-Ing. Uwe Doetzkies Dr.-Ing. Uwe Doetzkies Gesellschaft für Informatik mail: gi@uwe.doetzkies.de startupcamp berlin 15.3.2013 Regionalgruppe Berlin/Brandenburg Arbeitskreis Freiberufler

Mehr

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC

In 12 Schritten zum mobilen PC mit Paragon Drive Copy 11 und Microsoft Windows Virtual PC PARAGON Technologie GmbH, Systemprogrammierung Heinrich-von-Stephan-Str. 5c 79100 Freiburg, Germany Tel. +49 (0) 761 59018201 Fax +49 (0) 761 59018130 Internet www.paragon-software.com Email sales@paragon-software.com

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

C++11 C++14 Kapitel Doppelseite Übungen Musterlösungen Anhang

C++11 C++14 Kapitel Doppelseite Übungen Musterlösungen Anhang Einleitung Dieses Buch wendet sich an jeden Leser, der die Programmiersprache C++ neu lernen oder vertiefen möchte, egal ob Anfänger oder fortgeschrittener C++-Programmierer. C++ ist eine weitgehend plattformunabhängige

Mehr

SANDBOXIE konfigurieren

SANDBOXIE konfigurieren SANDBOXIE konfigurieren für Webbrowser und E-Mail-Programme Dies ist eine kurze Anleitung für die grundlegenden folgender Programme: Webbrowser: Internet Explorer, Mozilla Firefox und Opera E-Mail-Programme:

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

2D to 3D Technologie

2D to 3D Technologie Copyright by GDESIGN Vertriebsgesellschaft Einführung Der Einsatz von CAD-Werkzeugen und -Techniken gehört heute zum Standard. Immer mehr Unternehmen arbeiten daran, ihre bisherige 2D-Konstruktion auf

Mehr

Einführung in PHP. (mit Aufgaben)

Einführung in PHP. (mit Aufgaben) Einführung in PHP (mit Aufgaben) Dynamische Inhalte mit PHP? 2 Aus der Wikipedia (verkürzt): PHP wird auf etwa 244 Millionen Websites eingesetzt (Stand: Januar 2013) und wird auf etwa 80 % aller Websites

Mehr

Einfache Computersteuerung für Modellbahnen

Einfache Computersteuerung für Modellbahnen Einfache Computersteuerung für Modellbahnen Was soll eigentlich mit einem Computer gesteuert werden? Diese Frage muss man sich als erstes stellen: - Man braucht für Ausstellungen einen kompletten automatischen

Mehr

2 Die Terminaldienste Prüfungsanforderungen von Microsoft: Lernziele:

2 Die Terminaldienste Prüfungsanforderungen von Microsoft: Lernziele: 2 Die Terminaldienste Prüfungsanforderungen von Microsoft: Configuring Terminal Services o Configure Windows Server 2008 Terminal Services RemoteApp (TS RemoteApp) o Configure Terminal Services Gateway

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr