Vorlesung Modellierung und Simulation heterogener Systeme

Größe: px
Ab Seite anzeigen:

Download "Vorlesung Modellierung und Simulation heterogener Systeme"

Transkript

1 Vorlesung Modellierung und Simulation heterogener Systeme Modellierung heteorgener Systeme (Methodik v. Modellierung und Entwurf) Christoph Grimm 2003

2 Überblick über Semesterstoff Simulation ereignisdiskreter Systeme, SystemC Grundlagen Methodik Modellierung von Hw/Sw-Systemen Modellierung von Registertransfers Modellierung von Bustransaktionen, Busprotokollen Funktionale Modellierung Nichtdeterministische Modelle, Verfeinerung

3 Inhalt Kurze Zusammenfassung: SystemC Hw/Sw-Systeme Abstraktionsebenen und Methodik beim Entwurf heterogener Hw/Sw-Systeme Modellierung auf Register-Transfer-Ebene Beispiele

4 S Y S T E M C T M C++ Klassenbibliothek zur Modellierung von Hw/Sw Systemen Diskrete Prozesse, Kommunikationskanäle Ereignisdiskreter Simulationskern ruft C++ - Methoden von Modulen auf. Modelle können mit normalem C++ Compiler übersetzt werden. SC_MODULE(counter) { sc_out<int> count_val; sc_in<bool> clk; int cnt; do_count { cnt = cnt + 1; count_val.write(cnt); }; SC_CTOR(counter) { SC_METHOD(do_count) sensitive_pos << clk; } };

5 SystemC Use Flow SystemC Bibliothek C++ Compiler Linker Modell Ausführbares Programm (Simulator) C++ Debugger Simulationsergebnisse

6 Inhalt Kurze Zusammenfassung: SystemC Hw/Sw-Systeme Abstraktionsebenen und Methodik beim Entwurf heterogener Hw/Sw-Systeme Modellierung auf Register-Transfer-Ebene Beispiele

7 Hw/Sw-Systeme (1) DSP Σ pofi KV2W hook (telephone receiver) algorithm Interfaces Control Controller Σ prefi KIT Protection net Subscriber Digital circuit Mixed-Signal circuit Analog circuit red: linear electrical magenta: linear DAE s blue: dataflow green: digital (SystemC)

8 Hw/Sw-Systeme (2)

9 Hw/Sw-Systeme Vereinfachte Architektur Prozessor Software Hardware (Co-Prozessor) Hw/Sw-System, i. A. mehrere Prozessoren, mehrere Co-Prozessoren, mehrere Busse

10 Ausführbare Spezifikation (Executable Specification) Welcher Prozessor? Software Am Anfang i. d. R. : Softwareimplementierung Häufig Prototypimplementierung, z. B. MP3- Standardimplementierung von Fraunhofer Aber häufig: Leistungsaufnahme zu hoch Performance zu niedrig Prozessor zu teuer Wenn wir die Softwareimplementierung als Ausgangspunkt für den Entwurf eines Hw/Sw-Systems verwenden, so wird diese als ausführbare Spezifikation bezeichnet.

11 Hw/Sw-Systeme Partitionierung, Auswahl Architektur Prozessor Software Hardware (Co-Prozessor) Erforschung des Entwurfsraums (Partitionierung, Allokation): Zuweisung von Teilen der ausführbaren Spezifikation an Verarbeitungseinheiten (Prozessor(en), Co-Prozessore(en), Bussen),

12 Klassischer Top-Down Design Flow Verfeinerung C/C++ System Level Model Compilation Simulation Manuelle Konvertierung für HW Partition -Fehler - Inkonsistenzen - Unnötige Simulationen VHDL/Verilog Result Simulation Partitionierung, Allokation: Sukzessives Verlagern von Systemfunktionen auf Co-Prozessoren, bis Performence/Leistungsaufnahme OK Synthesis

13 SystemC Design Flow Verfeinern SystemC Model Compilation Simulation Evaluation Keine manuelle Übersetzung nötig. Der gesamte Entwicklungsprozess kann in einer Sprache durchgeführt werden. Der Entwurf kann sukzessive, ohne Bruch an eine Realisierung angenähert werden. RT-Synthese Software: C++ - Compiler, OS,... Gate-Level Design with Verilog, VHDL,...

14 Meilensteine der Verfeinerung Executable Spec. Untimed Functional Model Timed Functional Model Bus-Cycle Accurate Model Clock-Cycle Accurate Model Register-Transfer Model Parallelität geschätzte Laufzeiten [sec] Busse, Kommunikation Taktzyklen Realisierung

15 Meilensteine der Verfeinerung Executable Spec. Untimed Functional Model Timed Functional Model Bus-Cycle Accurate Model Clock-Cycle Accurate Model Register-Transfer Model Parallelität geschätzte Laufzeiten [sec] Busse, Kommunikation Taktzyklen Realisierung

16 Modellierung auf RT-Ebene Modelliert wird: Struktur: Kombinatorische Gatternetze Register Verhalten: Transfer von Eingang oder Register über Funktion zu Ausgang oder Register abhängig von Bedingungen (z. B. Taktflanken o. Pegeln) Registertransfermodelle: (Pseudocode) wenn EREIGNIS: Register = f1(register) EREIGNISSE: boolesche Funktion aus Pegeln oder Flanke von Signalen klingt zu einfach die Tücke liegt in der Synthese!

17 Registertransfersynthese Registertransfermodelle sind i.d.r. Ausgangspunkt für RT- Synthese! Es reicht nicht, dass Modell korrekt simuliert! Simulation (mis)match: RT-Synthese muss versuchen, das Verhalten des ereignisdiskreten Modells möglichst genau zu implementieren. RT-Synthese muss effiziente Hardware liefern. Synthetisierte Hardware muss sich genauso verhalten wie simuliertes Modell! Probleme - Vorsicht: Delta-Zyklen nicht physikalisch realisierbar Die Werte Unknown sind in der Realität entweder 1 oder 0.

18 Syntheserobuste Spezifikation von RT-Modellen NIE darauf verlassen, dass Synthesetool das Verhalten irgendwie korrekt realisiert. Methodik: Gewünschte Register und ihre Art (Flipflop oder Latch) wählen. Modell so schreiben, dass Synthese diese Register einführt, und das auch Kontrollieren. Registerinferenzregeln beschreiben Relation zwischen syntaktischen Konstrukten und synthetisierter Hardware. Registerinferenzregeln sind von Synthesewerkzeug zu Synthesewerkzeug verschieden. IEEE : Minimalstandard für Austausch von RT-Modellen Sinngemäß: Syntheserobuste Spezifikation

19 Syntheserobuste Spezifikation kombinatorischer Funktionen Sie wollen eine kombinatorische Funktion realisieren (z. B. Addierer, Multiplizierer) x1 xn Syntheserobustes RT-Modell: WENN EVENT(x1,,xn) y=f(x1, xn) y=f(x1, xn) y

20 Modellierung kombinatorischer Funktionen in SystemC SC_MODULE(beispiel) { sc_in<int> a,b; sc_out<int> y; void compute() { y=a+b; }; SC_METHOD(compute) sensitive << a,b; }

21 Syntheserobuste Spezifikation von FlipFlops Sie wollen ein Schaltwerk, dessen Ergebnisse in FlipFlops gespeichert werden x1 xn Syntheserobustes RT-Modell: rv soll in Flipflop gespeichert werden WENN steigende Taktflanke rv=f(x1, xn) Takt f(x1, xn) rv Alle Signale, denen Wert zugewiesen wird, werden als Flipflops realisiert!

22 Modellierung von Schaltnetzen mit Flipflops in SystemC SC_MODULE(beispiel) { sc_in<int> a,b; sc_in<bool> clk; sc_out<int> y; void compute() { y=a+b; }; SC_CTOR(beispiel) { SC_METHOD(compute) sensitive_pos << clk; } }

23 Syntheserobuste Spezifikation von Latches Sie wollen ein Schaltwerk, dessen Ergebnisse in Latches gespeichert werden x1 xn Syntheserobustes RT-Modell: rv soll in Latch gespeichert werden WENN Taktpegel rv=f(x1, xn) Takt f(x1, xn) rv Alle Signale, denen Wert zugewiesen wird, werden als Latches realisiert!

24 Modellierung von Schaltnetzen mit Latches in SystemC SC_MODULE(beispiel) { sc_in<int> a,b; sc_in<bool> clk; sc_out<int> y; void compute() { if (clk == 1) { y=a+b; }; } } SC_CTOR(beispiel) SC_METHOD(compute) sensitive << clk << a << b; }

25 Nicht-Syntheserobuste Konstrukte (Beispiele) WENN EVENT(x1,,xn-1) y=f(x1, xn) Für Simulation-Match nötig: Latch, in dem y gespeichert wird, falls sich xn ändert. Synthesetools implementieren solche Prozesse meist als kombinatorische Logik Simulation-Mismatch! WENN EVENT(x1,, xn) IF (condition) THEN y=f(x1,, xn) END IF; Führt ggf. zur Inferenz eines Registers, in dem y gespeichert wird, solange condition nicht erfüllt ist. Häufig aber kombinatorische Logik gewünscht bzw. gedacht.

26 Überblick über Semesterstoff Simulation ereignisdiskreter Systeme, SystemC Grundlagen Methodik Modellierung von Hw/Sw-Systemen Modellierung von Registertransfers Modellierung von Bustransaktionen, Busprotokollen Funktionale Modellierung Nichtdeterministische Modelle, Verfeinerung

27 Übung Beispiel: Modellierung eines einfachen RISC -Prozessors Zu Hause: Modellieren sie selber einen einfachen RISC-Prozessor, der außerdem über load/store-befehle verfügt.

28 TI-Mini-RISC Prozessor hat die folgenden Register: Programmzähler PC Pointer auf Adresse, die nächsten auszuführenden Befehl enthält Instruktionsregister IR Auszuführender Befehl Andere Register Stackpointer, Datenregister, Adressregister, Flags, Zyklen: Fetch: Von *PC wird Befehl in IR geholt, PC = PC + 1; Decode: CASE(IR) ADD: Next = ExecuteADD; ExecuteINC: z.b. D0 = D0+1;

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim Methoden, Werkzeuge und Architekturen zum Entwurf und zur Realisierung von Signal- und Bildverarbeitungsalgorithmen für die Umsetzung in R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim,

Mehr

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath

Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher. Sascha Kath Analyse verschiedener HLS-Systeme in Hinblick auf ihren Umgang mit der Hochsprachenabstraktion Speicher Sascha Kath Dresden, Gliederung 1. Aufgabenstellung 2. HLS-Systeme 1. LegUP 2. Vivado HLS 3. Leap

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011

Rechnerorganisation 2 TOY. Karl C. Posch. co1.ro_2003. Karl.Posch@iaik.tugraz.at 16.03.2011 Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2003. 1 Ausblick. Erste

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

Synthese Eingebetteter Systeme. 1 Einführung: Entwurf und Synthese

Synthese Eingebetteter Systeme. 1 Einführung: Entwurf und Synthese 12 Synthese Eingebetteter Systeme Sommersemester 2011 1 Einführung: Entwurf und Synthese 2011/04/06 Michael Engel Informatik 12 TU Dortmund Überblick Komplexität aktueller eingebetteter Systeme Electronic

Mehr

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1 Musterlösung 3 Mikroprozessor & Eingebettete Systeme 1 WS214/215 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tief ergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Modelling with SystemC

Modelling with SystemC Modelling with SystemC Andrej Eisfeld May 22, 2011 1 Andrej Eisfeld Modelling with SystemC Inhaltsverzeichnis Grundlagen Die eigene CPU Synthese 2 Andrej Eisfeld Modelling with SystemC Fakten Open Source

Mehr

Mastertitelformat bearbeiten

Mastertitelformat bearbeiten Mastertitelformat bearbeiten Specification of multi-domain systems based on Matlab / Simulink Martin Stark, Robert Bosch GmbH Martin.Stark@de.bosch.com Alle Rechte bei Robert Bosch GmbH, auch für den Fall

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Synthesis for Low Power Design

Synthesis for Low Power Design Synthesis for Low Power Design Prof. Thomas Troxler Hochschule Rapperswil Abstract Power optimization at high levels of abstraction has a significant impact on reduction of power in the final gate-level

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Technische Informatik

Technische Informatik Technische Informatik Eine einführende Darstellung von Prof. Dr. Bernd Becker Prof. Dr. Paul Molitor Oldenbourg Verlag München Wien Inhaltsverzeichnis 1 Einleitung 1 1.1 Was ist überhaupt ein Rechner?

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Das Prinzip an einem alltäglichen Beispiel

Das Prinzip an einem alltäglichen Beispiel 3.2 Pipelining Ziel: Performanzsteigerung é Prinzip der Fließbandverarbeitung é Probleme bei Fließbandverarbeitung BB TI I 3.2/1 Das Prinzip an einem alltäglichen Beispiel é Sie kommen aus dem Urlaub und

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

HW/SW Codesign 5 - Performance

HW/SW Codesign 5 - Performance HW/SW Codesign 5 - Performance Martin Lechner e1026059 Computer Technology /29 Inhalt Was bedeutet Performance? Methoden zur Steigerung der Performance Einfluss der Kommunikation Hardware vs. Software

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

CPLD Auswahl und Design

CPLD Auswahl und Design CPLD Auswahl und Design Sascha Schade DL1DRS 9. Januar 2010 Für ein software defined radio (SDR) wurde ein verstellbarer Taktgenerator, der den Analogschalter speist, als Design für einen CPLD synthetisiert

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Einführung in Automation Studio

Einführung in Automation Studio Einführung in Automation Studio Übungsziel: Der links abgebildete Stromlaufplan soll mit einer SPS realisiert werden und mit Automation Studio programmiert werden. Es soll ein Softwareobjekt Logik_1 in

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

CORBA. Systemprogrammierung WS 2006-2007

CORBA. Systemprogrammierung WS 2006-2007 CORBA Systemprogrammierung WS 2006-2007 Teilnehmer: Bahareh Akherattalab Babak Akherattalab Inhaltsverzeichnis: Verteilte Systeme Vergleich zwischen lokale und verteilte Systeme Verteilte Anwendungen CORBA

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

VHDL Synthese mit WebPACK

VHDL Synthese mit WebPACK VHDL Synthese mit WebPACK V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 3 2 Abstraktionsebenen 4 2.1 Systemebene 5 2.1.1 Abstraktionsebenen 5 2.2 Beschreibungs-Domänen 6 2.3 Was ist RTL Synthese?

Mehr

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil

Anleitung SDDesigner. MICROSWISS-Zentrum Rapperswil Hochschule HSR Oberseestrasse 10 CH-8640 Tel 055 222 47 00 Fax 055 222 47 07 1. März 1999 Anleitung SDDesigner 1. Einführung Der SDDesigner ist ein grafisches Eingabewerkzeug für Übergangsdiagramme. Die

Mehr

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.

Fachhochschule Kaiserslautern Fachbereich Angewandte Ingenieurwissenschaften WS2010/11. Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10. Lehrprozessor: Coldfire MCF-5272 Zeitpunkt der Prüfung: 18.01.2011 Beginn: 10.45 Uhr Raum: Aula Bearbeitungszeit: 180 Minuten Erlaubte Hilfsmittel: Gedrucktes Vorlesungsskript von Prof. Neuschwander mit

Mehr

Synthese Eingebetteter Systeme. 9 Synthese: Grundlagen

Synthese Eingebetteter Systeme. 9 Synthese: Grundlagen 12 Synthese Eingebetteter Systeme Sommersemester 2011 9 Synthese: Grundlagen Michael Engel Informatik 12 TU Dortmund 2011/05/13 Synthese: Grundlagen Überblick: Synthese High-Level-Synthese Werkzeugfluss

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1

Erfolg mit Embedded Vision Systemen. Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Dipl.-Ing. Carsten Strampe Embedded Vision Systeme 1 Erfolg mit Embedded Vision Systemen Embedded Prozessoren vs. X86er Derivate DSP vs. FPGA vs. GPP wer ist geeigneter

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Hardware Virtualisierungs Support für PikeOS

Hardware Virtualisierungs Support für PikeOS Virtualisierungs Support für PikeOS Design eines Virtual Machine Monitors auf Basis eines Mikrokernels Tobias Stumpf SYSGO AG, Am Pfaenstein 14, 55270 Klein-Winternheim HS Furtwangen, Fakultät Computer

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

Qualitätsorientierter System Entwurf

Qualitätsorientierter System Entwurf Prof. Dr. Görschwin Fey, fey@informatik.uni-bremen.de, MZH 3070 Jan Malburg M. Sc., malburg@informatik.uni-bremen.de, MZH 3050 Programmieraufgaben Qualitätsorientierter System Entwurf Die Zulassung zum

Mehr

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner

C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Von-Neumann-Rechner (John von Neumann : 1903-1957) C. BABBAGE (1792 1871): Programmgesteuerter (mechanischer) Rechner Quelle: http://www.cs.uakron.edu/~margush/465/01_intro.html Analytical Engine - Calculate

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 4 Prozessor Einzeltaktimplementierung Lothar Thiele Computer Engineering and Networks Laboratory Vorgehensweise 4 2 Prinzipieller Aufbau Datenpfad: Verarbeitung und Transport von

Mehr

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren Dr. Thomas Wild Rainer Ohlendorf Michael Meitinger Prof. Dr. Andreas Herkersdorf Lehrstuhl für Theresienstr. 90

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut.

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut. EloTrain - Stecksystem Stecksysteme sind robuste, modulare Elektrotechnik-Baukastensysteme. Steckbausteine mit elektronischen Bauelementen werden auf Basisplatten zu Schaltungen zusammengesetzt und ermöglichen

Mehr

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 ECC 2009 Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 Wohin geht die Zukunft? IME, 2004 Institut für Mikroelektronik, Steinackerstrasse 1, 5210 Windisch 25.05.2009 2 Übersicht

Mehr

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule

Von SystemC zum FPGA in 7 Schritten. Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Von SystemC zum FPGA in 7 Schritten Kurzes Tutorial für die Synthese von SystemC Entwürfen auf dem ISMS Server der Hochschule Bremen. Mirko Kruse 21. November 2004 Inhalt 1 Einleitung...1 2 Benötigte Software...1

Mehr

Entwurf und Validierung paralleler Systeme

Entwurf und Validierung paralleler Systeme TECHNISCHE UNIVERSITÄT ILMENAU Entwurf und Validierung paralleler Systeme Integrated Hard- and Software Systems http://www.tu-ilmenau.de\ihs 06.05.2008 Sommersemester 2008 Projektseminar Andreas Mitschele-Thiel

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

RTLOpen - Eine Methode zur interdisziplinären Entwicklung von software-intensiven Echtzeit-Systemen

RTLOpen - Eine Methode zur interdisziplinären Entwicklung von software-intensiven Echtzeit-Systemen RTLOpen - Eine Methode zur interdisziplinären Entwicklung von software-intensiven Echtzeit-Systemen Thorsten Keuler (thorsten.keuler@iese.fraunhofer.de) IESE Fraunhofer Institut Experimentelles Software

Mehr

ActiveCharts. Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0

ActiveCharts. Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0 Jens Kohlmeyer 05. März 2007 Institut für Programmiermethodik und Compilerbau ActiveCharts Verknüpfung von Modellen und Code bei der modellgetriebenen Softwareentwicklung mit UML 2.0 Seite 2 Übersicht

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01.

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. www.kit.edu WOCHE 12 AM 22.01. DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 12 AM 22.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Neues vom STRIP Forth-Prozessor

Neues vom STRIP Forth-Prozessor Neues vom STRIP Forth-Prozessor Tagung der Forth-Gesellschaft April 2011 in Goslar Willi Stricker 1 STRIP Forth-System Praxisdemonstration Aufbau Hardware Aufbau Software 2 STRIP-Kernel Clocks So,S1 Clock

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Studienvertiefungsrichtung Informationstechnik

Studienvertiefungsrichtung Informationstechnik Studienvertiefungsrichtung Informationstechnik Prof.Dr.-Ing. Ulrich Sauvagerd Lehrgebiet Informationstechnik Nov. 2006, Seite 1 www.etech.haw-hamburg.de/~sauvagerd Lehrgebiet Informationstechnik Nov. 2006,

Mehr

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer

Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009. Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer Praktikum Grundlagen von Hardwaresystemen Sommersemester 2009 Versuch 2: Multiplexer, Carry-Ripple- und Carry-Lookahead-Addierer 19. Februar 2009 Fachbereich 12: Informatik und Mathematik Institut für

Mehr

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations-

Mehr

Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014

Experimentelle Hardwareprojekte. Volker Dörsing 20. März 2014 Friedrich Schiller Universität Jena Rechnerarchitektur und Advanced Computing Experimentelle Hardwareprojekte Projekt A-1: CAE-Werkzeuge Volker Dörsing 20. März 2014 Inhaltsverzeichnis 1. Einführung...

Mehr

Compiler für Eingebettete Systeme

Compiler für Eingebettete Systeme Compiler für Eingebettete Systeme [CS7506] Sommersemester 2014 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 1 Einordnung &

Mehr

Statische Timing-Analyse

Statische Timing-Analyse 2.6 Statische Timing Analyse Statische Timing-Analyse Überblick Delay Elmore-Delay Wire-Load-Modell Pfad-Problem Pfade/Cones Kritischer Pfad Setup- und Hold- Zeit Ein- und Ausgänge Falsche Pfade Slack

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Funktionale Sicherheit Testing unter

Funktionale Sicherheit Testing unter Funktionale Sicherheit Testing unter den Bedingungen der Safety Integrity Levels Präsentation auf dem Neu-Ulmer Test-Engineering Day Sebastian Stiemke, MissingLinkElectronics, Neu-Ulm 1 Inhalt Idee hinter

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Konzept / Architektur Diagramme

Konzept / Architektur Diagramme Architektur-Modell Konzept / Architektur Diagramme Im Übergang Analyse Design wird das System konzipiert und seine Architektur entworfen: Subsystem-Modell (execution view) UML 1.x Package Diagram «subsystem»

Mehr