9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1

Größe: px
Ab Seite anzeigen:

Download "9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1"

Transkript

1 Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. Siebenborn, P. Jung, P. Skwierawski, C. Thiele 19. Januar 2013 Übung Nr. 9 Inhaltsverzeichnis 9.1 Grundschaltungen (2P) Sieben-Segment-Anzeige Schieberegister Frequenzteiler Lauflicht Multiplexer Volladdierer Debouncer Vorbemerkungen Bei den beiden Übungen, die sich mit AHDL (Altera Hardware Description Language) beschäftigen, ist es wichtiger denn je, zu Hause vorzuarbeiten. Ohne sorgfältige Vorbereitung wird es nicht möglich sein, in dem gegebenen Zeitrahmen die Aufgaben durchzuführen. Sie sollten sich zumindest mit der Entwicklungsumgebung vertraut machen und sich genau überlegen, wie Sie die folgenden Aufgaben programmieren wollen. Unterschätzen Sie nicht das Problem, dass sämtliche Befehle parallel bearbeitet werden! Die bekannte sequentielle Programmierlogik bringt einen häufig nicht weiter, sondern irritiert zusätzlich. Am besten schreiben Sie den Quelltext für Ihre Lösungen bereits zu Hause und kompilieren ihn. Während der Übungen werden wir dann noch genug damit zu tun haben, verbliebene Probleme zu lösen. 9.1 Grundschaltungen (2P) Sieben-Segment-Anzeige Entwerfen Sie ein Subdesign, das über Schalter binär eingegebene Zahlen zwischen 0 und 255 sowohl als Bitmuster über die LED-Reihe als auch hexadezimal auf der Sieben-Segment-Anzeige wiedergibt. Am besten verwenden Sie dazu eine Wahrheitstabelle (TABLE) Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1 (serout, Y n ) = f(y n, X n, serin, A k ) mit Steuerung A k (k = 1... K), parallelem Dateneingang X n (n = 1... N), Registerdaten (parallelem Ausgang zur permanenten Kontrolle der Vorgänge) Y n (n = 1... N), dem seriellen Eingang serin und dem seriellen Ausgang serout, welcher identisch mit dem höchsten, bzw. niedrigsten Bit von Y ist. Die möglichen in A k codierten Grundfunktionen sind: 1. Nichts tun: Y n = Y n, serout bleibt, serin ist irrelevant 1 Der Zeitindex ist hier unterdrückt. Die Zuweisung = betrifft den nächsten Taktzyklus.

2 Einführung in die Elektronik 2 2. Paralleles Laden: Y n = X n, serout bleibt, serin ist irrelevant 3. Aufwärts Schieben: Y n = Y n 1, serout = Y N 1, Y 1 = serin, X ist irrelevant 4. Abwärts Schieben: Y n = Y n+1, serout = Y 2, Y N = serin, X ist irrelevant In integrierten Schaltungen werden häufig auch die Funktion 1 mit einer Leitung SEN (shift-enable), die Funktion 2 mit einer Leitung LOAD (laden) und 3 und 4 mit einer Leitung UP/DN (up/down) kodiert. Übliche Sonderformen sind: 1. Parallel-In-Seriell-Out-SR: Funktionen 1, 2 und 3; serout ist der einzige Ausgang. 2. Seriell-In-Parallel-Out-SR: Funktionen 1 und 3; serin ist der einzige Eingang, Y ist Ausgang. 3. Zyklisch rückgekoppeltes SR für spezielle Anwendungen Die ersten beiden Varianten hintereinander geschaltet werden z.b. zur seriellen Datenübertragung genutzt. Vervollständigen Sie die Wahrheitstabellen jeweils für ein Element des Schieberegisters an den Positionen Anfang, Mitte, Ende. Der Steuereingang A k umfaßt dabei die Funktionen 1 4 binär codiert (k = ). erstes Element A 1 A 2 Y 1 GND GND Y 1 mittleres Element A 1 A 2 Y n GND GND letztes Element A 1 A 2 Y N GND GND Realisieren Sie ein 8-Bit-Schieberegister in AHDL. Als Speicherelement ist das AHDL-Primitive DFF zu benutzen. Darin sind die Sonderfälle erstes Element, letztes Element und ein Array von sechs mittleren Elementen enthalten. A und X sind auf die Schalter zu legen. Die Ausgänge Y und serout sind mit den LEDs darzustellen. serin kann über einen Tastschalter variiert werden. Verwenden Sie als Taktgeber (Clock) einen Tastschalter. Die ordnungsgemäße Funktionsweise ist gut zu erkennen, wenn Sie einfache Bitmuster eingeben. Falls Sie ab und zu ein Springen des Schieberegisters bemerken, als ob es mehrere Clock-Impulse erhalten hätte, liegt die am sogenannten Prellen des Tasters, die Lösung dieses Problems ist der Debouncer aus Aufgabe Frequenzteiler In dieser Aufgabe geht es um den Einsatz von Zählern. In AHDL steht hierfür die Bibliotheksfunktion lpm counter zur Verfügung, die hier mehrfach in verschiedenen Variationen eingesetzt werden soll. Hier soll eine einheitliche niedrige Frequenz als Takt für spätere Versuche erzeugt werden. Der erste Teil der Aufgabenstellung besteht also darin, die Frequenz des Quarzes des DE1 von 50 MHz auf eine sinnvolle Grundfrequenz zu teilen. Wir entscheiden uns für eine Quantisierungszeit von 10 ms, bzw. 100 Hz Grundfrequenz. Soll ein Taktsignal mit gleichen Zeiten für 1 und 0 erzeugt werden, braucht man jedoch 200 Hz als Teilerfrequenz. Es ist vorteilhaft hierfür nicht einen Zähler mit 18 Bit zu benutzen, da eine solche Breite Probleme mit den internen Laufzeiten der Chips geben könnte. Der Vorschlag hier ist eine zweistufige Teilerkaskade, bei der zuerst ein einfacher 6-Bit-Zähler durch 64 teilt. Dessen Übertrag cout wird benutzt, um den folgenden Zähler um 1 herunterzuzählen. Dieser Zähler wird nach jedem Durchgang (alle Bits auf 0) mit f clock /(200 Hz 64) = 3906 geladen. Es genügt also ein 12 Bit breiter

3 Einführung in die Elektronik 3 Zähler. Dieser Wert gilt für f clock = 50 MHz, wird auf den UP Boards ein anderer Basistakt verwendet, muss das Programm entsprechend angepasst werden. Nach jedem Durchgang wird ein T-Flip-Flop getoggelt. Hierdurch wird der 100 Hz-Takt erzeugt, der den späteren Aufgaben als Clock dient. Die Megafunction lpm counter muss im Gegensatz zu z.b. der Primitive DFF noch durch die Kopfzeile INCLUDE lpm counter.inc ; eingebunden werden. Dann wird sie wie bekannt definiert: VARIABLE mycounter :lpm counter WITH (LPM WIDTH=17); weitere Details entnehmen Sie bitte der Quartus II Hilfe Lauflicht Man nehme eine Handvoll Dioden (N) und ein Schieberegister das zyklisch auf sich selbst zurückgekoppelt ist (serin=serout). Zu Beginn ist nur Bit 0 auf Null (LED1 leuchtet). Mit jedem Takt wird die Null um eine Position verschoben. Fertig ist das Lauflicht! In unserem Beispiel ist dies durch eine Zustandsmaschine (AHDL Funktion Machine with states ) etwas erweitert, um Spielraum für eine externe Bedienung zu geben. Mit dem Betätigen des Tastschalters 1 soll das Lauflicht gestartet werden, nochmaliges Betätigen stoppt die Maschine. Ein Frequenzteiler bestimmt die Geschwindigkeit und Parameter können durch Schalter eingegeben werden. Tastschalter 2 erlaubt eine interne Modifikation der Betriebsbedingungen. In Abb. 1 ist das Zustandsdiagramm dargestellt. Dazu wird unten eine Skizze der Funktionsweise gegeben. Beachte: Diese Notation entspricht keiner Norm, es ist nicht mehr als eine Liste von Stichworten. S1 (start): Kann nur verlassen werden wenn T 1 = 0 S2 (lade ext par): Lädt die Anfangswerte der Parameter von den Schaltern parallel in die internen Register; kann nur verlassen werden wenn T 1 = 1 S3 (schleifen anfang): Einsprungpunkt einer Endlosschleife; der Zähler warten zähler wird neu gesetzt S4 (funktion berechnen): Hier wird das Schieberegister getaktet (Y n ) = f(y n, X n, A k ) S5 (warten): Hier wird ein Zähler heruntergezählt und gewartet bis entweder 1. T 1 = 0; dann folgt der Zustand (ende). 2. T 2 = 0, T 1 = 1; dann folgt der Zustand (modifiziere). 3. warten zähler= 0, T 2 = 1, T 1 = 1; dann folgt der Rücksprung nach (schleifen anfang). S6 (modifizieren): Erlaubt eine interne algorithmische Veränderung der Parameter (Die Art der Veränderung bleibt Ihnen überlassen); wird nach (schleifen anfang) verlassen, nur wenn T 2 = 1 S7 (ende): Zwischenzustand zum sicheren Beenden; wird nur verlassen wenn T 1 = 1 Gestalten Sie zwei neue, interessante Lichteffekte, indem Sie 1. entweder die Parameter durch Tastschalter 2 gesteuert modifizieren (anderes Muster, andere Geschwindigkeit, andere Funktion z.b. durch Rückkopplung mehrerer Bits) 2. oder statt Tastschalter 2 eine weitere Schleife zur Modifikation des Verhaltens nutzen Als Taktgeber wird die heruntergeteilte Systemfrequenz verwendet (s. Abschnitt 9.1.3). Bereiten Sie zu Hause eine Programmodifikation vor, die mindestens zwei Funktionen zusätzlich enthält. Zeichnen Sie das geänderte Zustandsdiagramm. Sie dürfen hierfür die volle Bandbreite der Sprachund Bibliothekselemente nutzen. Sollten Sie jedoch sehr ambitioniert sein, muß das Design schon zu Hause simuliert werden, da nicht viel Zeit zur Fehlersuche während des Praktikums besteht.

4 Einführung in die Elektronik 4 start S1 lade_ext_par T1=0 S2 T1=1 modifiziere S6 schleifen_anfang T2=1 S3 funktion_berechnen S4 w()=0 warten ende S5 S7 T2=0 T1=0 T1=1 Abbildung 1: Zustandsdiagramm zur Kontrolle des Lauflichts

5 Einführung in die Elektronik Multiplexer Aufgabe ist es, eine der Eingangsinformationen X n (n = 1... N) auf den Ausgang Y zu schalten. Ausgewählt werden die Eingänge durch die Selektorleitungen A k (k = 1... K). Die ausgewählte Leitung n ist binär in A k kodiert. Erstellen Sie eine Wahrheitstabelle und einen Verknüpfungsplan mit Logiksymbolen für N = 4, K = 2. Beschreiben Sie die Aufgabe für 8-Bit mit Booleschen Ausdrücken in AHDL. X n und A k werden über Schalter eingegeben, die Ausgabe Y auf eine LED gelegt. Überprüfen Sie einen aussagekräftigen Teil der Wahrheitstabelle. 9.3 Volladdierer Auf der Grundlage von Übung 8.6 kann ein 8-Bit-Ripple-Carry-Addierer konstruiert werden. Entwerfen Sie ein Design für ein Volladdierer-Element nach Üb. 8, Abb. 13b) mit Booleschen Ausdrücken in AHDL. Durch n-fache Wiederverwendung des Elements und Umbenennung der Bits, bzw. Verkettung der Carry- Signale erhalten Sie einen n-bit-volladdierer. Benutzen Sie Schalter als Dateneingänge A und B. Stellen Sie das Ergebnis C mit der Sieben-Segment- Anzeige hexadezimal dar. Das Carry-Flag c 0 ist mit einem Tastschalter zu bedienen, c 8 mit einer LED darzustellen. 9.4 Debouncer Einfache Schalter haben die Eigenschaft zu prellen ( bouncing ). Das bedeutet, der Schalter schließt, wenn er betätigt wird nicht einfach, sondern prallt eventuell noch einmal zurück, öffnet die Verbindung kurz und schließt dann erst endgültig. Dasselbe passiert analog beim Ausschalten. Diese Vorgänge finden im Inneren des Schalters innerhalb von Mikrosekunden statt und haben nichts mit einem zittrigen Finger zu tun. Benutzt man einen prellenden Schalter bei schnellen Digitalschaltungen, wird ein gegebener Impuls oft als mehrere interpretiert. Dies ist ein Standardproblem der Digitalelektronik, gegen das es unterschiedlich sichere und komplizierte Entpreller oder Debouncer gibt. Unter anderem sind die Taster der DE1 Boards bereits durch einen entsprechenden Schaltkreis entprellt. Wird dieser Aufgabenteil mit dem DE1 Board durchgeführt ist daher einer der nicht entprellten Schiebeschalter für den Takt zu verwenden. Entwerfen Sie ein einfaches Debouncer-Subdesign, das bei Tastendruck einen Zähler (AHDL Bibliotheksfunktion lpm counter) startet. Dessen Überlauf cout soll ein Flipflop setzen. Beim Loslassen des Tasters wird der Zähler wieder gestartet und das Flipflop erst bei erneutem Überlauf des Zählers zurückgesetzt. Der Inhalt des Flipflops ist nun das entprellte Signal. Der Zähler soll mit der Systemclock getaktet werden. Überlegen sie, wieviele Bit breit Ihr Zähler sein muss, um eine Verzögerung von einigen Millisekunden zu erhalten. Um die Funktionsweise zu testen muss noch beispielsweise ein weiterer Zähler verwendet werden, der als clock das Tastensignal erhählt, und dessen Zählerstand auf der Siebensegmentanzeige sichtbar gemacht wird. Mit entprelltem Taster darf der Zähler pro Tastendruck nur eins weiterzählen.

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Aufgaben zur Attiny-Platine

Aufgaben zur Attiny-Platine Das Attiny-Projekt Aufgaben 1 Aufgaben zur Attiny-Platine 1. LEDs blinken 1.1 Schließen Sie eine rote LED an PortB.0 und eine grüne LED an PortB.1 an (vgl. Abb. 1). Achten Sie dabei darauf, dass die langen

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Kathrin Ender Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorbemerkung 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen.

aufeinander folgenden 1kHz-Pulse in gleichen Zeitabständen an die Eingänge des JK-FF gelangen. 1. Vorbereitung: 1.1 Zählerbaustein 74163 Bei den in der Schaltung verwendeten Zählerbausteinen handelt es sich um synchron programmierbare 4-bit-Binärzähler mit synchronem Clear. Die Zähler sind programmierbar,

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc.

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc. Fachbereich Physik Elektronikpraktikum 10 Flip-Flops und Zähler Stichworte Informieren Sie sich über ankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung Zeichnen

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Anleitung DGTV Fernbedienung

Anleitung DGTV Fernbedienung Anleitung DGTV Fernbedienung Inhaltsverzeichnis: 1. Einstellung der Fernbedienung auf Radio-Frequenz 4 2. Kupplung der Fernbedienung mit dem TV-Gerät 5 2.1 Automatische Suchfunktion 5 2.2 Programmieren

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle. Table of Contents Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik 1 2 2 3 Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.de UniTrain UniTrain - das multimediale E-learning

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

LED Skalenbeleuchtung mit einem Arduino

LED Skalenbeleuchtung mit einem Arduino Projektteil: LED Skalenbeleuchtung mit einem Arduino Aufgaben: - Ein Taster schaltet die LED-Beleuchtung ein - Nach Ablauf einer im Programm hinterlegten Zeit schaltet sich die Beleuchtung von selbst wieder

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

Digitallabor Aufgabe 5.4 Lauflicht

Digitallabor Aufgabe 5.4 Lauflicht Digitallabor Aufgabe 5.4 Lauflicht Teilnehmer: Natalia Springer, Tong Cha 5.4.1 Lauflicht Aufgabenstellung Aus den Dioden D1..D8 ist ein Lauflicht zu erstellen. Es soll eine Diode leuchten. Bei jeder positiven

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Praktikum Digitaltechnik SS Versuch 2

Praktikum Digitaltechnik SS Versuch 2 Praktikum Digitaltechnik SS 2011 Versuch 2 1 Praktikum Digitaltechnik SS 2011 Versuch 2 Stand: 26. 4. 11 Aufgabe 1: Bauen Sie einen 4-Bit-Asynchronzähler auf Grundlage von JK-Flipflops Wir bauen zunächst

Mehr

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich.

Wie in der Skizze zu sehen ist, bleibt die Periodendauer / Frequenz konstant und nur die Pulsweite ändert sich. Kapitel 2 Pulsweitenmodulation Die sogenannte Pulsweitenmodulation (kurz PWM) ist ein Rechtecksignal mit konstanter Periodendauer, das zwischen zwei verschiedenen Spannungspegeln oszilliert. Prinzipiell

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software Fakultät für Physik Prof. Dr. H. Gemmeke A. Schmidt, J. Erfle, M. Frey, Dr. F. Hartmann 14. Dezember 2007 Einführung in die Quartus II Software und die Altera-Boards Inhaltsverzeichnis 1.1 Installation

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Programmierübungen in Assembler

Programmierübungen in Assembler Programmierübungen in Assembler 1. LED Ein-/Ausschalten Verwendet: Ports An Portpin P3.0 ist eine LED angeschlossen. An Portpin P1.0 ist ein Taster angeschlossen. a) Schreiben Sie ein Programm, welches

Mehr

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen

Praktikum Digitaltechnik SS 2009. Versuchsbeschreibungen Praktikum Digitaltechnik SS 2009 Versuch 1 1 Gesamtablauf: Praktikum Digitaltechnik SS 2009 1. Versuch Herkömmlicher Schaltungsaufbau durch Stöpseln 2. Versuch Rechnergestütztes Entwerfen über Schaltplan

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Zähler- und Zeitgeber-Baugruppen

Zähler- und Zeitgeber-Baugruppen Zähler- und Zeitgeber-Baugruppen Sinn: häufig müssen Zeitbedingungen eingehalten werden z.b.: einige ms warten, Häufigkeit von Ereignissen zählen etc... Lösung: 1.) Zeitschleifen = Programm abarbeiten,

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Allgemeines zu den Versuchen zur Digitaltechnik

Allgemeines zu den Versuchen zur Digitaltechnik ETE, Praktikum, Versuch 4 Allgemeines zu den Versuchen zur Digitaltechnik 1/8 Ausrüstung Für digitaltechnische Versuche steht an jedem Messplatz ein PHYWE Digitaltrainer zur Verfügung. Dieser ist mit TTL-Bausteinen

Mehr

Versuch 3: Zustandsautomat - Periodenmessung

Versuch 3: Zustandsautomat - Periodenmessung Hochschule Bochum FB E Elektrotechnik u. Informatik Praktikum EDI - Digitaltechnik Betreuung: P. Hunstig AE-Labor Automobilelektronik Raum C6-09 Versuch 3: Zustandsautomat - Periodenmessung Hinweis: Bitte

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Carry-Lookahead Addierer (CLA)

Carry-Lookahead Addierer (CLA) Carry-Lookahead Addierer (CLA) Idee: Vorausberechnung der Carry-Signale c i für alle n Stellen für i-ten Volladdierer gilt: c i+1 = a i b i + (a i +b i )c i := G i + P i c i G i = a i b i gibt an, ob in

Mehr

8.3 PCA2.D12 Display-Modul mit 4 Ziffern

8.3 PCA2.D12 Display-Modul mit 4 Ziffern PCD1 - PCD2 Anzeige- und Bedienmodule 8.3 PCA2.D12 Display-Modul mit 4 Ziffern Allgemeines Das Modul PCA2.D12 ist ein Ferndisplay, welches über Ausgänge einer SAIA PCD angesteuert wird. Es besitzt eine

Mehr

Wichtige Befehle bei der Programmierung von. FISCHER-Technik

Wichtige Befehle bei der Programmierung von. FISCHER-Technik Wichtige Befehle bei der Programmierung von FISCHER-Technik 1 7 6 2 3 4 5 1) Interfacediagnose : Bevor du loslegst, teste alle, an den Eingängen E1-E8 angeschlossenen Schalter und Sensoren. Mit der linken

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Kombinatorische Schaltungen

Kombinatorische Schaltungen Mathias Arbeiter 16. Juni 2006 Betreuer: Herr Bojarski Kombinatorische Schaltungen Elektrische Logigsysteme ohne Rückführung Inhaltsverzeichnis 1 Wirkungsweise von NAND-Gattern 3 2 logische Schaltungen

Mehr

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik

Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Versuchsvorbereitung P1-63: Digitale Elektronik, Schaltlogik Michael Walz Gruppe 10 28. Oktober 2007 INHALTSVERZEICHNIS Inhaltsverzeichnis 0 Vorwort 3 1 Gatter aus diskreten Bauelementen 3 1.1 AND-Gatter.....................................

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

16-Bit PCA Timer/Counter

16-Bit PCA Timer/Counter 4.5.1 Programmable Counter Array (PCA) Das Programmable Counter Array besteht aus fünf PCA-Modulen, die einen gemeinsamen 16- Bit Timer/Counter als Zeitbasis verwenden. ECI: Externer Clock-Eingang für

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Laborprotokoll Informationstechnologien

Laborprotokoll Informationstechnologien Laborprotokoll Informationstechnologien TKS 2004, Sommersemester 2004/05 Klaus Roleff, Andreas Unterweger ITLB2 Seite 1 von 13 Kombinatorische Beispiele Übung 1a Übungsziel: Programmierung einer 2-Bit-ALU

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Arduino für FunkAmateure

Arduino für FunkAmateure Arduino für FunkAmateure Arduino Einführung Teil 10 7-Segment LED mit Schieberegister Wie gehe ich am besten vor? 1. Was will ich machen? 2. Bauteile 3. Aufbau 7-Segment Anzeige I und II 4. Aufbau Schieberegister

Mehr

Ampelsteuerung Merkblatt 1 Wie werden die Bauteile angeschlossen?

Ampelsteuerung Merkblatt 1 Wie werden die Bauteile angeschlossen? 1 Übersicht Wir benutzen zur Steuerung der Ampeln das Arduino-Board, einen Mikrocontroller, an dem die einzelnen Bauelemente, Lampen, Taster und Sensoren, angeschlossen werden. Dafür gehen von jedem Element

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling

Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling Übungen zur Vorlesung Technische Informatik I, SS 2002 Hauck / Guenkova-Luy / Prager / Chen Übungsblatt 5 Rechenwerke / Scheduling Aufgabe 1: Sie haben in der Vorlesung einen hypothetischen Prozessor kennen

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung P. Fischer, ziti, Uni Heidelberg, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand des Systems und von Eingangsvariabl

Mehr

UART und Interrupts. Versuch Nr. 7

UART und Interrupts. Versuch Nr. 7 Universität Koblenz Landau Name:..... Institut für Physik Vorname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... UART und Interrupts Versuch Nr. 7 Vorkenntnisse: Aufbau und Arbeitsweise einer

Mehr

Informatik Enlightened. Station 0 - Einstieg

Informatik Enlightened. Station 0 - Einstieg Informatik Enlightened Herzlich Willkommen zum Workshop rund um das Thema Licht! Ihr wollt heute also eine Einparkhilfe selbst konstruieren, Geschwindigkeiten messen, eine Blume mit der Sonne wandern lassen

Mehr