Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Größe: px
Ab Seite anzeigen:

Download "Digitale Schaltungstechnik. Prof. Dr. P. Fischer"

Transkript

1 Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1

2 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 Mi 9:15 10:45 Ort: INF348, SR013 Dozent: Prof. Dr. P. Fischer, B6, 26, B3.02, Tel. 2735, Sekretariat Frau Wunsch, B6, 26, B3.03, Tel. 2733, Übung: Termin: Di 9:15 10:45 Ort: INF348, SR013 Übungsleiter: Dipl. Inf. Florian Erdinger, B6, 26, B3.04, Tel. 2732, Prüfung: Klausur: Termin nach Vereinbarung Die Arbeiten in der Übung sind wesentlicher Teil des Prüfungsstoffs! Punkte: 8 CP Internet: P. Fischer, ziti, Uni Heidelberg, Seite 2

3 Praktikum & Vorlesung Im Praktikum werden digitale Designs auf einem USB Board implementiert, das einen programmierbaren Xilinx ICs und weitere Bauteile enthält. Die Boards werden an Zweiergruppen ausgeliehen und können mit nach Hause genommen werden. Dort kann auf dem eigenen PC (Windows, zur Not Linux) gearbeitet werden. Es wird auch eine Ansteckplatine mit verschiedenen weiteren Funktionen (ADC/DAC, Gitarrenverstärker, IRDA, SD-Card, DCF-Empfänger, Ultraschallsender, geben!) Beginnend mit einfachen logischen Verknüpfungen soll am Ende z.b. ein Videospiel implementiert werden (Game of Life, Screensaver, ). Andere Ideen sind willkommen! Designs werden z.t. als Schaltpläne eingegeben, hauptsächlich aber in der Hardware-Beschreibungssprache VERLIOG. In der VL gibt es 3 Doppelstunden zu Verilog, aber richtig erlernen kann man das nur durch Übung! Verilog (oder VHDL) sind sehr wichtige Werkzeuge zum Hardwareentwurf Um so weit zu kommen, werden die für die Arbeit mit den programmierbaren Bausteinen nötigen Grundlagen so früh wie möglich behandelt. Der Aufbau von Transistoren, Herstellungstechnologie, Innenleben der Bausteine etc. werden daher erst im zweiten Teil des Semesters behandelt. P. Fischer, ziti, Uni Heidelberg, Seite 3

4 Umfrage Was ist n-dotierung? Wie funktioniert ein Bipolartransistor / ein MOS Transistor? Was ist der Early Effekt? Wie werden Chips hergestellt? Aus wie vielen Transistoren besteht ein CMOS NAND? Was ist ECL? Was ist ein PAL? Wer kennt ABEL / Verilog? Was ist ein Flipflop? Wie ist ein Binärzähler aufgebaut? Was ist ein Tri-State-Ausgang? Was ist eine Karnaugh-Map? Was ist das Zweierkomplement? Wie werden float Zahlen intern dargestellt? Wie funktioniert ein Carry-Lookahead Addierer? Was ist Booth-Encoding? P. Fischer, ziti, Uni Heidelberg, Seite 4

5 Inhalt der Vorlesung I Einführung & Motivation Historische Übersicht: Entwicklung der Technologie, Firmen und Leute Exponentielles Wachstum Moore's Law Kombinatorische Logik Zustände und Zahlendarstellung Boolsche Algebra, Regeln der Schaltalgebra Two-Level Logik / Multi-Level Logik Beschreibungen von Funktionen: Tabelle, K-MAP, Gatter, Verilog,... Elementare Gatter, Decoder, Multiplexer, Code-Umsetzer,... Kompliziertere Funktionen: Halbaddierer, Volladdierer, Komparator,... Arithmetik: Addierer, Subtrahierer und Multiplizierer Digitale Simulation Hardware-Beschreibung mit Verilog Syntax Beschreibung kombinatorischer Schaltungen Beschreibung sequentieller Schaltungen Beispiele P. Fischer, ziti, Uni Heidelberg, Seite 5

6 Inhalt der Vorlesung II Sequentielle Logik Flipflops, Setup- und Hold- Zeiten Systematischer Entwurf von Schaltwerken ('Automaten') Zustandskodierung (Minimum Bit Change, One Hot) Zähler, Schieberegister,... Pipelining Programmierbare Logikbausteine PLD-Strukturen: PAL, PLA, LCA Beispiel: Altera, Xilinx, Actel, etc., Beispiele für aktuelle Bauteile Computergestützter PLD-Entwurf Logiksynthese Logikminimierung 'Design Flow' für Standardzellen / Full Custom Designs P. Fischer, ziti, Uni Heidelberg, Seite 6

7 Inhalt der Vorlesung III Diode und Transistor Dotierung, Bändermodell, Elektronen und Löcher, Sperrschicht Diode, Kennlinie, Kapazität MOSFET (einfaches Modell in starker Inversion, linearer Bereich und Sättigung) Bipolartransistor Herstellungstechnologie Logikfamilien und Signalniveaus NMOS, CMOS, TTL, ECL, PECL, LVDS, Pass Gates, CML Logikfamilien, Signalpegel, Störabstände Open drain, Wired-OR, Tri-State Speicher ROM EPROM, EEPROM, Flash-EPROM,... statische RAMs dynamische RAMs P. Fischer, ziti, Uni Heidelberg, Seite 7

8 Literatur 1. Für viele Themen die meisten Bücher über digitales Design 2. Einführung in die Halbleiter Schaltungstechnik H. Göbel (Autor der Smile Applet in Hamburg), Springer, ISBN , ~50 Sehr verständlich, genau der richtige Umfang! Mit CD mit Applets, PSPICE, Beispielen. Kaufen! 3. Contemporary Logic Design R. Katz, Addison-Wesley 1994, ISBN , 91 (Amazon) Klassiker für CMOS Design, bezahlbar, einfach zu lesen 4. Digital Integrated Circuits: A Design Perspective Jan M. Rabaey, Prentice Hall 1985, ISBN , (Amazon) Stärker Hardware-orientiert 5. Halbleiter Schaltungstechnik U. Tietze, C. Schenk, Springer, 80 (Amazon) 6. Digitaltechnik K. Beuth, Vogel Fachbuch, ISBN , (Amazon) 7. Logischer Entwurf digitaler Systeme H. Liebig, S. Thome, Springer 1996, ISBN , 45 (Amazon) Schwerpunkt liegt auf funktionaler Ebene. Wird in der Rechnerarchitektur eingesetzt. 8. Principles of CMOS VLSI Design Neil H. E. Weste, K. Eshraghian, Addison-Wesley 1994, ISBN , 91 (Amazon) Klassiker für CMOS Design, einfach zu lesen 9. Verilog Tutorials gibt es im Netz gute Links bitte weiterleiten! P. Fischer, ziti, Uni Heidelberg, Seite 8

9 Digital vs. Analog 'Wir leben in einer digitalen Welt' Aber: Wir leben in einer analogen Welt! Alle 'Meßgrößen' unserer Umwelt (Licht, Töne, Temperatur, elektrische Spannungen, Druck etc..) sind analog. Die analoge Verarbeitung und Übertragung ist daher 'natürlich': - Telefon, Fernsehen, Musikaufnahme und Wiedergabe (Band, Schallplatte), Temperaturregelung, analoge Computer! Analoge Signale sind jedoch störanfällig und 'ungenau'. Daher waren z.b. Computer schon sehr früh digital. Mit den schnell steigenden Möglichkeiten der Digitaltechnik werden immer mehr analoge Signale durch digitalisierte Werte ersetzt: Analog Digital Wandler Digitale Verarbeitung und Übertragung Digital Analog Wandler Der 'Aufwand' für die Analog-Digital und Digital-Analog-Wandlung ist geringer als der Vorteil der digitalen Verarbeitung P. Fischer, ziti, Uni Heidelberg, Seite 9

10 Die digitale Welt Maus,Touchpad Interfaces SoundKarte Mikrofon CPU RGB DAC Web-CAM Internet Modem/DSL Modem/DSL CPU-Temperatur Grafikkarte RAM Lüftergeschwindigkeit Vorteile der digitalen Verarbeitung: - Störsicherheit, auch bei hohen Geschwindigkeiten. Möglichkeiten der Fehlererkennung und Korrektur - Hoher dynamischer Bereich / hohe Auflösung - Robuste Architekturen - Wiederverwertbarkeit von Design-Lösungen - Einfache Portabilität zwischen unterschiedlichen Technologien Aber: Wir leben in einer analogen Welt: digitale Signale sind analoge Signale! - Zum Verständnis und zur Optimierung (z.b. der Geschwindigkeit) werden die Grundbauelemente als analoge Schaltungen betrachtet. - RAM-Leseverstärker, Leseverstärker von Platten, IO-Zellen etc. sind analoge Baugruppen! P. Fischer, ziti, Uni Heidelberg, Seite 10

11 Beispiel: Analoger Musik-Synthesizer Tastatur Exponentiator Hüllkurvengeneratoren Voltage Controlled Oscillator 1 Voltage Controlled Filter 1 Voltage Controlled Amplifier 1 Voltage Controlled Oscillator N Voltage Controlled Filter N Voltage Controlled Amplifier N Rausch- Generator LF-Generator Verzerrer Moog, ca. 1970, > 25 Patente... Mischer P. Fischer, ziti, Uni Heidelberg, Seite 11

12 Swiched On Bach Revolutionäre 'Sounds', z.b. Walter Carlos: 'Switched On Bach'... P. Fischer, ziti, Uni Heidelberg, Seite 12

13 Digitaler Synthesizer ('Sound Karte') Steuerung vom PC aus Digitale Erzeugung der Ausgangswerte Digital Analog Wandler P. Fischer, ziti, Uni Heidelberg, Seite 13

14 Der Kreis schließt sich Software-Emulation des Moog Modular 5 u.a. P. Fischer, ziti, Uni Heidelberg, Seite 14

15 Beispiel: Analoges Echo/Hallgerät Einstellung Verstärkung Verstärker Hallspirale Verstärker Addierer Mehrfache Wiederholung erreicht man durch Rückkopplung des Ausgangssignal auf den Eingang P. Fischer, ziti, Uni Heidelberg, Seite 15

16 Digitales Hallgerät Einstellung Verzögerung Einstellung Verstärkung ADC Verzögerung (Schieberegister / Ringspeicher) X K Addierer DAC 20 Bit ADC/DAC, 32 Bit Verarbeitung P. Fischer, ziti, Uni Heidelberg, Seite 16

17 Digitales Hallgerät Praktische Realisierung ADC Digitaler Signalprozessor DAC 20 Bit ADC/DAC, 32 Bit Verarbeitung, gleichzeitig digitale Filter, Raumsimulationen,... P. Fischer, ziti, Uni Heidelberg, Seite 17

18 Implementierung von Digitalen Schaltungen Komplexität (#Transistoren) Ultra Large Scale Integration (ULSI) Large Scale Integration (LSI) Small Scale Integration (SSI) Prozessoren Very Large Scale Integration (VLSI) Medium Scale Integration (MSI) Komplexe programmierbare Logik (CPLD) Einfache programmierbare Logik (PLD) Semi Custom Gate Arrays Structured ASIC Standard Zell Designs Full Custom Full Custom Chips Universell verwendbar 'general purpose ICs' Spezieller Einsatzbereich P. Fischer, ziti, Uni Heidelberg, Seite 18

19 'Small Scale Integration' Ab ~1960: Digitale Bauelemente enthalten einfache Funktionen: - 4 Gatter, 1 Decoder, 4 Bit Zähler - Dazu 'kleine' Speicherbausteine - ICs im Dual-In-Line (DIL) Gehäuse Meist nur ein- oder doppelseitige Platinen ('PCB': Printed Circuit Board) Beispiel: Drucker-Interface für den AppleII Computer: P. Fischer, ziti, Uni Heidelberg, Seite 19

20 Beispiel für SSI ICs 74LS00: 4 fach NAND 74LS90: 4 Bit Decade-Zähler (0..9) 5V, 7 mw, t PD =10 ns 5V, 45 mw, f max =16 MHz P. Fischer, ziti, Uni Heidelberg, Seite 20

21 'Medium Scale Integration' Ab ~1970: Komplexere ICs, z.b. einfache Mikroprozessoren (6502, 8080) Beispiel: Apple Computer (1977, 8Bit, 1 MHz). RAMs mit 8k x 1 bit, ROMs mit 8kx8 bit Video CPU RAM ROM 'Glue Logic' (Klebe- Logik) Diese vielen IC haben 'wenig' Funktion. Kann man sie ersetzen? P. Fischer, ziti, Uni Heidelberg, Seite 21

22 Einfache programmierbare Logik Chips enthalten regelmäßige Strukturen aus UND und ODER Elementen, die vom Kunden für eine spezielle Anwendung programmiert werden können ('semi custom') Zunächst nur einmal programmierbar (One-Time-Programmable, OTP), dann UV-löschbar, dann elektrisch löschbare Typen Verschiedene Varianten haben Flipflops am Ausgang, so daß auch Zähler etc. erzeugt werden können PLA Zum Herausfinden des Programmiermusters sind erstmals notwendig: - Beschreibungssprache für die Logik (meist 'ABEL') - Software, die die gewünschte Funktion mit den technischen Möglichkeiten des Bausteins darstellt ('Synthese-Tools') - Software und Hardware zum Programmieren der Bausteine P. Fischer, ziti, Uni Heidelberg, Seite 22

23 Beispiel PALCE 16V8 Fortgeschrittenes PAL (feste ODER-Struktur) 8 Eingänge, 8 Ausgänge (können als Eingänge geschaltet werden), 20 Pins (+ OE, CLK, GND, VDD) Ausgänge mit FFs oder kombinatorisch, programmierbare Polarität, Tri-state programmierbar Ausschnitt (2/8 Ausgängen) Werden programmiert P. Fischer, ziti, Uni Heidelberg, Seite 23

24 Komplexere programmierbare Bausteine 'Field Programmable Gate Arrays' FPGAs (z.b. Xilinx, 1984): Slew Rate Control Passive Pull-Up, Pull-Down Vcc D Q Output Buffer Pad Q D Delay Input Buffer IO Block C1 C2 C3 C4 H1 DIN S/R EC S/R Control G4 G3 G2 G1 G Func. Gen. DIN F' G' H' D SD Q CLB Switch Matrix CLB F4 F3 F2 F1 F Func. Gen. H Func. Gen. G' H' DIN F' G' H' 1 S/R Control EC RD D SD Q Y CLB CLB Switch matrix K Logic Block H' F' 1 EC RD X P. Fischer, ziti, Uni Heidelberg, Seite 24

25 FPGAs: Xilinx - State of the Art (?) Moderne FPGAs bestehen aus einem Pool von Logikblöcken, RAM, Multiplizierer,..., die 'frei' verdrahtet werden können Die meisten Chips können inzwischen beliebig oft umprogrammiert werden Damit ist sehr schnelle und flexible Produktentwickung möglich. Viele 'Full custom' Designs werden unnötig Xilinx Patent 4,706,216 'Configurable Logic Element' (1984) P. Fischer, ziti, Uni Heidelberg, Seite 25

26 Was in den Xilinx Chips steckt ca. $100 (Einzelstücke) ca. $2000 (Einzelstücke) ca. $180 (Einzelstücke) (Preise Stand 2003) P. Fischer, ziti, Uni Heidelberg, Seite 26

27 Kundenspezifische Chips Für Massenanwendungen (PCI Interface, CD-Player, MPEG-Decoder, Ethernet Chip,...) sind die FPGA-Lösungen zu teuer. Die Entwicklung eines auf die Anwendung genau zugeschnittenen Designs ('Full Custom') kann sich lohnen. Andererseits erfordert es viel Zeit, Personal und Know-How, einen Chip 'von Null' zu entwickeln Kosten Kosten als Funktion des Produktionsvolumens Full custom Bei kleinen Stückzahlen sind die Entwicklungskosten für full custom hoch Die Kosten mit 'Commercial Of The Shelf' (COTS) sinken bei großen Stückzahlen nicht mehr Diskret aufgebaut 'Break-Even' hängt von erreichtem Produktionsvolumen ab. Produktionsvolumen Ein weiterer Vorteil von full custom Chips ist der Schutz vor Kopien (Sicherung der 'Interlectual Property') P. Fischer, ziti, Uni Heidelberg, Seite 27

28 Gate Array / Sea of gates / gate forest / (structured ASIC) A 1 2 B I/O Pads 3 4 OUT Gatter / Vorstufen von Gattern Platz für Leitungen (Routing channel) Ein Chip mit einer große Anzahl an NICHT verdrahteten Gattern / Transistoren wird vorfabriziert Die gewünschte Funktion wird durch kundenspezifische Verbindungen in wenigen (und nur mit den) Verdrahtungsebenen erreicht + Relativ geringe Kosten (nur 2-3 Metalllagen müssen für den Kunden produziert werden) Dichte nicht optimal (Gatter bleiben ungenutzt, manche Funktionen sind mit den vorhandenen Gattern nicht optimal zu implementieren). Performance nicht besonders hoch Structured ASICs bieten auch komplexe Blöcke (CPU, RAM, ADC ) Gate Arrays und Sea of Gates inzwischen wenig gebräuchlich Structured ASICs sind im Kommen P. Fischer, ziti, Uni Heidelberg, Seite 28

29 Aufwand für Chipentwicklung Kosten für Chipentwicklung (lt. Markt & Technik 14/2004) für ASIC in 0.13 µm Technologie: - Personalkosten (46%) - EDA Tools (17%) - Maskenkosten (16%) - Rechenleistung (ca. 16%) - übergeordnete Kosten Beispiel LCA Entwicklung (Altera): - Entwicklung der Stratix -Chipfamilie: 75 M$ - Entwicklung der Stratix II -Chipfamilie in 90nm Technologie: 130 M$ P. Fischer, ziti, Uni Heidelberg, Seite 29

30 Standardzellen Der Chiphersteller stellt eine Bibliothek mit (vielen) verschiedenen 'StandardZellen' (Gatter, Multiplexer, kompliziertere Blöcke wie Zähler, Addierer,... auch RAMs, IO Pads...) zur Verfügung Die Standardzellen haben alle ähnliche Geometrie und können so auf 'Schienen' nebeneinander plaziert werden). Masse und die Versorgungsspannung sind 'automatisch' angeschlossen. Die Verbindung der Zellen erfolgt dann in 'Routing channels' P. Fischer, ziti, Uni Heidelberg, Seite 30

31 Standardzellen Der Kunde setzt seine Schaltung aus den verfügbaren Standardzellen so zusammen, daß die Fläche und die Performanz möglichst noch ist. (Hardwarebeschreibung Synthese einer Netzliste). Simulation! Dieser Synthese-Vorgang ist kompliziert! Ein Layout des Chips wird generiert, indem die Zellen in Zeilen plaziert werden. Die Versorgungsspannungen sind automatisch verbunden. Die Zeilen werden untereinander mit einem X-Y-Raster angeschlossen. Die 'optimale' Plazierung der Zellen ist eine schwierige Aufgabe! Die Ein-Ausgänge der Zellen werden entsprechend der Netzliste verbunden Dieser 'Routing' ist im Detail kompliziert! Bei Technologien mit >2-3 Metalllagen wird die Verdrahtung über den Zellen gemacht. Das spart viel Platz und erleichtert den Arbeitsschritt sehr. Die durch die Verdrahtung eingeführten Verzögerungen müssen anschließend erneut simuliert werden! Designs enthalten z.t auch spezielle 'full custom' Zellen (spezielle analoge Funktionen, spezielle IO Pads,...) Jeder Chip ist völlig anders. Alle Technologieschritte müssen für den Kunden durchgeführt werden Relativ hohe Entwicklungskosten + Sehr hohe Performance + Kleine Chips z.z. sehr attraktive Möglichkeit, wenn Know-How und notwendige Infrastruktur vorhanden P. Fischer, ziti, Uni Heidelberg, Seite 31

32 Beispiel eines kleinen Standardzellen-Chips Zeilen von Standardzellen Full Custom Block Ring für Versorgungsspannungen IO Pads P. Fischer, ziti, Uni Heidelberg, Seite 32

33 Etwas größer: 'Event Builder' für die Teilchenphysik Der genaue Inhalt der Full- Custom Blöcke ist dem Kunden u.u. nicht bekannt. Der Hersteller fügt das 'geheime' Innenleben erst vor der Herstellung ein. P. Fischer, ziti, Uni Heidelberg, Seite 33

34 Sehr großes Standard-Zell Design: ATOLL Entwickelt am LS Rechnerarchitektur in Mannheim Schnelles Interface mit sehr kleiner Latenz zum Aufbau von Rechnernetzen 5 Millionen Transistoren 0.18 µm Technologie 64 bit 133 MHz PCI-X Interface P. Fischer, ziti, Uni Heidelberg, Seite 34

35 Fertige komplexe Baugruppen IP Cores: Bestimmte Funktionsblöcke können als 'Intellectual Property Cores' eingekauft werden Werden vom Hersteller der Technologie oder von spezialisierten Firmen angeboten Angeboten werden - 'soft cores' - source code der Hardwarebeschreibung - 'hard cores' - (optimierte) Layouts Beispiele: - Mathematische Funktionen (Multiplizierer, Sinus, FFT,...) - Speicher - Fehlererkennung, Fehlerchecks - Prozessoren - DSPs - BUS-Interfaces (PCI, I2C, JTAG) - Peripheriebausteine - PLL, DLL P. Fischer, ziti, Uni Heidelberg, Seite 35

36 Full Custom Chips Das Layout des Chips (auf Transistorebene) wird vom Kunden erstellt. Notwendig zur Implementierung von speziellen Funktionen / Techniken (analoge Chips, ungewöhnliche Logikfamilien für die keine Standardzellen existieren,...) + Besonders effiziente Schaltungen mit höchster Performance + Besonders kompakte Layouts + Herstellungskosten < Standard Zellen (da kleinere Chips) - Lange Entwicklungszeit, erfordert viel Know-How Entwicklungskosten > Standard Zellen P. Fischer, ziti, Uni Heidelberg, Seite 36

37 Optimierung durch Full Custom Design Beispiel D-Latch Latch aus Gattern D Enable Q Q 18 Transistoren Latch aus Transistoren Enable D Enable schwacher Inverter Q Q 6 Transistoren! P. Fischer, ziti, Uni Heidelberg, Seite 37

38 Full Custom Chip: Pentium Prozessor P. Fischer, ziti, Uni Heidelberg, Seite 38

39 Gate Array, Semi-Custom, Full-Custom ICs Gate Array Standard Cell Flächen: ~3:2:1 für gleiche Funktion Full Custom P. Fischer, ziti, Uni Heidelberg, Seite 39

40 Grob-Ziele der Vorlesung Verständnis der zugrunde liegenden Hardware Aufbau der Grundbausteine digitaler Schaltungen aus Transistoren Unterschiedliche 'Logikfamilien', Signalpegel etc. Elementare Grundbauelemente (Gatter, Multiplexer,...) Komplexere Module (Addierer, Multiplizierer,...) Getaktete Schaltungen Programmierbare Logikbausteine Funktion und deren Beschreibung Bool'sche Algebra, Schaltalgebra, Minimierung Funktionale Beschreibung (Hardware-Beschreibungssprachen) Erzeugung von Funktionen mit gegebenen Hardware-Resourcen Strategien zum Entwurf von Schaltungen (Schaltpläne, Synthese) und Simulationswerkzeuge Praktische Erfahrung im FPGA Design In der Übung P. Fischer, ziti, Uni Heidelberg, Seite 40

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mi 9:15 10:45 (Block 1) Ort: INF 205, SR9 Dozent: Prof. Dr. P. Fischer, B6,

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv

schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Roboter programmieren mit NXC für Lego Mindstorms NXT 1. Auflage Roboter programmieren mit NXC für Lego Mindstorms NXT schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG mitp/bhv Verlag

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Staatlich geprüfter EDV-Führerschein

Staatlich geprüfter EDV-Führerschein Staatlich geprüfter 1. Seit wie viel Jahren gibt es den Personal Computer? seit ~ 50 Jahren seit ~ 30 Jahren seit ~ 20 Jahren seit ~ 5 Jahren Computer gibt es schon immer. 2. Ein Computer wird auch als

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Facharbeit Informatik. Thema:

Facharbeit Informatik. Thema: Facharbeit Informatik Thema: Rechneraufbau Mit Locad 2002 1 Inhaltsangabe Inhalt: Seite: 1. Einleitung 3 2. Inbetriebnahme der Schaltung 3 3. Eingabe 4 4. CPU 5 5. RAM/HDD 8 6. Ausgabe 10 7. Auf einer

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner XY-Plotter von Thomas Wagner Im folgendem wird ein XY-Plotter beschrieben, der universell einsetzbar ist, jedoch für einen speziellen Einsatzzweck entworfen wurde: die Übertragung, Anzeige und Speicherung

Mehr

VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim

VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim VLSI Design WS 03/04 Prof. Dr. P. Fischer Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Mannheim P. Fischer, TI, Uni Mannheim, Seite 1 Organisatorisches Zeit, Ort: Montag

Mehr

Aufbau der Cutmaster Einheit

Aufbau der Cutmaster Einheit Aufbau der Cutmaster Einheit Inhalt: Eine Cutmaster Einheit besteht aus einem Laptop, worauf ein Audioschnitt Programm installiert ist. Zur Zeit sind Cutmaster XP und Easy Cut 4.0. installiert. Weiter

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Einfache Computersteuerung für Modellbahnen

Einfache Computersteuerung für Modellbahnen Einfache Computersteuerung für Modellbahnen Was soll eigentlich mit einem Computer gesteuert werden? Diese Frage muss man sich als erstes stellen: - Man braucht für Ausstellungen einen kompletten automatischen

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Programmieren für Ingenieure Sommer 2015. Ein Rechner. Rechner sind überall. Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet.

Programmieren für Ingenieure Sommer 2015. Ein Rechner. Rechner sind überall. Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet. Programmieren für Ingenieure Sommer 2015 Andreas Zeller, Universität des Saarlandes Ein Rechner Gerät, das mittels programmierbarer Rechenvorschriften Daten verarbeitet. Rechner sind überall Ihr Rechner

Mehr

Ein Scan basierter Seitenangriff auf DES

Ein Scan basierter Seitenangriff auf DES Ein Scan basierter Seitenangriff auf DES Seminar Codes & Kryptographie SS04 Tobias Witteler 29.06.2004 Struktur des Vortrags 1. Einführung / Motivation 2. Struktur von DES 3. Die Attacke Begriffsklärung:

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Nokia Handy - Daten sichern.

Nokia Handy - Daten sichern. Nokia Handy - Daten sichern. Inhaltsvereichnis Was brauche ich dazu:... 2 Nokia PC Suite.... 2 Genauer kann man allerdings so sichern!!!!!!... 6 Bluetooth Verbindung... 6 Sichern... 7 Dateimanager... 8

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005

trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 trivum Multiroom System Konfigurations- Anleitung Erstellen eines RS232 Protokolls am Bespiel eines Marantz SR7005 2 Inhalt 1. Anleitung zum Einbinden eines über RS232 zu steuernden Devices...3 1.2 Konfiguration

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

TechNote. Produkt: TWINFAX 7.0 (ab CD_24), TWINFAX 6.0 Modul: SMTP, T611, R3 Kurzbeschreibung: Briefpapier- und Mailbodyunterstützung

TechNote. Produkt: TWINFAX 7.0 (ab CD_24), TWINFAX 6.0 Modul: SMTP, T611, R3 Kurzbeschreibung: Briefpapier- und Mailbodyunterstützung Produkt: TWINFAX 7.0 (ab CD_24), TWINFAX 6.0 Modul: SMTP, T611, R3 Kurzbeschreibung: Briefpapier- und Mailbodyunterstützung Diese Anleitung hilft Ihnen, das nachfolgend geschilderte Problem zu beheben.

Mehr

SMART Newsletter Education Solutions April 2015

SMART Newsletter Education Solutions April 2015 SMART Education Newsletter April 2015 SMART Newsletter Education Solutions April 2015 Herzlich Willkommen zur aktuellen Ausgabe des Westcon & SMART Newsletters jeden Monat stellen wir Ihnen die neuesten

Mehr

MH - Gesellschaft für Hardware/Software mbh

MH - Gesellschaft für Hardware/Software mbh E.d.a.s.VX Mobiles Messwerterfassungssystem Das E.d.a.s.VX System ist für mobile Einsätze am 12 Volt DC Bordnetz designed. Es ist in der Lage Messungen mit einer Summenabtastrate von bis zu 3 000 000 Messwerten

Mehr

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können.

In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Tutorial: Wie erfasse ich einen Termin? In diesem Tutorial lernen Sie, wie Sie einen Termin erfassen und verschiedene Einstellungen zu einem Termin vornehmen können. Neben den allgemeinen Angaben zu einem

Mehr

Computeria Urdorf. Treff vom 16. Januar 2013. Was ist ein Computer?

Computeria Urdorf. Treff vom 16. Januar 2013. Was ist ein Computer? Computeria Urdorf Treff vom 16. Januar 2013 Was ist ein Computer? Der Vater des Computers Charles Babbage (26.12.1791 18.10.1871) Erfinder der mechanische Rechenmaschine Die Entwicklung des Computers Karl

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Swisscom TV Medien Assistent

Swisscom TV Medien Assistent Swisscom TV Medien Assistent Mithilfe dieses Assistenten können Sie Fotos und Musik, die Sie auf Ihrem Computer freigegeben haben, auf Swisscom TV geniessen. Diese Bedienungsanleitung richtet sich an die

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress.

Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Anmeldung http://www.ihredomain.de/wp-admin Dashboard Diese Ansicht erhalten Sie nach der erfolgreichen Anmeldung bei Wordpress. Das Dashboard gibt Ihnen eine kurze Übersicht, z.b. Anzahl der Beiträge,

Mehr

Web Interface für Anwender

Web Interface für Anwender Ing. G. Michel Seite 1/5 Web Interface für Anwender 1) Grundlagen: - Sie benötigen die Zugangsdaten zu Ihrem Interface, welche Sie mit Einrichtung des Servers durch uns oder Ihren Administrator erhalten

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Einrichtung eines Zugangs mit einer HBCI-Chipkarte bei der Commerzbank

Einrichtung eines Zugangs mit einer HBCI-Chipkarte bei der Commerzbank Einrichtung eines Zugangs mit einer HBCI-Chipkarte bei der Commerzbank Schritt 1: Rufen Sie in Bank X den Menüpunkt Ablage/Neue Kontenmappe auf. Es erscheint dieser Dialog: Es erscheint folgender Dialog,

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Anwendungsbeispiele. Neuerungen in den E-Mails. Webling ist ein Produkt der Firma:

Anwendungsbeispiele. Neuerungen in den E-Mails. Webling ist ein Produkt der Firma: Anwendungsbeispiele Neuerungen in den E-Mails Webling ist ein Produkt der Firma: Inhaltsverzeichnis 1 Neuerungen in den E- Mails 2 Was gibt es neues? 3 E- Mail Designs 4 Bilder in E- Mails einfügen 1 Neuerungen

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

virtuos Leitfaden für die virtuelle Lehre

virtuos Leitfaden für die virtuelle Lehre virtuos Zentrum zur Unterstützung virtueller Lehre der Universität Osnabrück virtuos Leitfaden für die virtuelle Lehre Zentrum virtuos Tel: 0541-969-6501 Email: kursmanager@uni-osnabrueck.de URL: www.virtuos.uni-osnabrueck.de

Mehr

Intranet E-Mail Moodle

Intranet E-Mail Moodle Intranet E-Mail Moodle Manual für Lernende V1.0 1 / 8 Inhaltsverzeichnis Übersicht... 3 1. Intranet... 3 2. Anmeldenamen... 4 3. Passwort... 4 3.1 Erste Anmeldung... 4 3.2 Passwort ändern... 5 3.3 Passwort

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Messtechnik zum I2C-Bus

Messtechnik zum I2C-Bus Wenn man Projekte mit mehreren Teilnehmern am i2c-bus aufbaut oder andere Strukturen, die kompliziert sind, dann lohnen sich Messgeräte zur Analyse des Geschehens auf dem Bus. Es werden hier alle Möglichkeiten

Mehr

BASIS Karten, WEA-Katalog, Projektierung, Objekte etc.

BASIS Karten, WEA-Katalog, Projektierung, Objekte etc. Das Basismodul enthält diese Elemente: 1. Projektsteuerung / -management 3. Kartenhandling-System 2. Windenergieanlagen-Katalog 4. Projektierung und objektorientierte Dateneingabe Die Projektsteuerung

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabe: Entwurf und Implementierung eines Weckers auf Basis des MSP430 Education Systems Beschreibung: Gegenstand des Praktikums ist der

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

G S Z Grundschulzentrum Inhalte: G S Z www.grundschulzentrum.de Kirchplatz 2, 88250 Weingarten, Telefon (0751) 501-8205

G S Z Grundschulzentrum Inhalte: G S Z www.grundschulzentrum.de Kirchplatz 2, 88250 Weingarten, Telefon (0751) 501-8205 Tutorial Inhalte: Verbindung des PCs mit dem Beamer Einstellungen am PC Beamer an einen PC anschließen Tobias Giesen Kirchplatz 2, 88250 Weingarten, Telefon (0751) 501-8205 1 Verbindung des PCs mit dem

Mehr

Digi Vox Ultimate Pro

Digi Vox Ultimate Pro Digi Vox Ultimate Pro Bedienungsanleitung Ver. 1.0 Kapitel 1 Wichtiger Hinweis 1.1 Alle Kanale sind vom Empfangsradius abhängig und können von Zeit zu Zeit geändert werden. Die Aufnahme hängt von der Art

Mehr

Upgrade von Windows Vista auf Windows 7

Upgrade von Windows Vista auf Windows 7 Je nach Ihrer Hardware und der aktuellen Edition von Windows Vista können Sie die Option Upgrade bei der Installation von Windows 7 verwenden, um ein Upgrade von Windows Vista auf die entsprechende oder

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus:

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: If-clauses - conditional sentences - Nie mehr Probleme mit Satzbau im Englischen! Das komplette Material finden Sie hier: School-Scout.de

Mehr

Kommunikation mehrerer PCs über Hubs

Kommunikation mehrerer PCs über Hubs Kommunikation mehrerer PCs über Hubs Hub Sollen mehr als zwei Rechner zu einem Netz verbunden werden, können wir dazu einen Hub verwenden, s. Abbildung 3-1. Ein Hub hat je nach Ausführung von vier bis

Mehr

PicKit 3. Programmierung mit dem USB-Programmer PICkit3 (Microchip) AB-2010-04

PicKit 3. Programmierung mit dem USB-Programmer PICkit3 (Microchip) AB-2010-04 PicKit 3 Programmierung mit dem USB-Programmer PICkit3 (Microchip) AB-2010-04 In diesem Dokument wird ein Umbau beschrieben. Für die Funktion des Umbaus gibt es keine Garantie. Für durch diesen Umbau entstandene

Mehr

Viele Bilder auf der FA-Homepage

Viele Bilder auf der FA-Homepage Viele Bilder auf der FA-Homepage Standardmäßig lassen sich auf einer FA-Homepage nur 2 Bilder mit zugehörigem Text unterbringen. Sollen es mehr Bilder sein, muss man diese als von einer im Internet

Mehr

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7 Pflichtenheft Projektteam Rexford Osei - Frey Michael Weichert Thomas Thutewohl Pflichtenheft Seite 1 von 7 Inhaltsverzeichnis Allgemeines Seite 3 Projektteam, Auftraggeber, Betreuer Projektbeschreibung

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

ACDSee Pro 2. ACDSee Pro 2 Tutorials: Übertragung von Fotos (+ Datenbank) auf einen anderen Computer. Über Metadaten und die Datenbank

ACDSee Pro 2. ACDSee Pro 2 Tutorials: Übertragung von Fotos (+ Datenbank) auf einen anderen Computer. Über Metadaten und die Datenbank Tutorials: Übertragung von Fotos (+ ) auf einen anderen Computer Export der In dieser Lektion erfahren Sie, wie Sie am effektivsten Fotos von einem Computer auf einen anderen übertragen. Wenn Sie Ihre

Mehr

Installationsanleitung Maschinenkonfiguration und PP s. Release: VISI 21 Autor: Anja Gerlach Datum: 18. Dezember 2012 Update: 18.

Installationsanleitung Maschinenkonfiguration und PP s. Release: VISI 21 Autor: Anja Gerlach Datum: 18. Dezember 2012 Update: 18. Installationsanleitung Maschinenkonfiguration und PP s Release: VISI 21 Autor: Anja Gerlach Datum: 18. Dezember 2012 Update: 18.Februar 2015 Inhaltsverzeichnis 1 Einbinden der Postprozessoren... 3 1.1

Mehr

II. Daten sichern und wiederherstellen 1. Daten sichern

II. Daten sichern und wiederherstellen 1. Daten sichern II. Daten sichern und wiederherstellen 1. Daten sichern Mit der Datensicherung können Ihre Schläge und die selbst erstellten Listen in einem speziellen Ordner gespeichert werden. Über die Funktion Daten

Mehr