Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Protokollant: Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen

Größe: px
Ab Seite anzeigen:

Download "Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Protokollant: Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen"

Transkript

1 Elektronik-Praktikum, Digitalteil Institut für Kernphysik Protokoll Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Intsar Bangwi Physik Master bangjowi@gmail.com Sven Köppel Physik Master koeppel@itp.uni-frankfurt.de Versuchsdurchführung: Dienstag, und Raum-Nr.: Abgabe des Protokolls: Dienstag, Betreuer: Protokollant: Umfang des Protokolls: Anhang: Ingo Fröhlich Raum-Nr.: , Tel: froehlich@physik.uni-frankfurt.de Sven Köppel 30 Seiten 6 Seiten Tagesprotokoll

2 Inhaltsverzeichnis 1 Einführung 3 2 Vom Transitor zur Digitalelektronik Transistor als Schalter Theorie Messergebnisse CMOS-Logik Passive Pullup Hochfrequenzbetrieb Active Pullup NAND aus CMOS-Gattern zusammenbauen Kurze Wiederholung der Boolschen Algebra Durchführung Elektrotechnische Diskussion von Gattern Ein- und Ausgangscharakteristik von NAND-ICs in TTL und CMOS Übertragungskennlinie Pulsübertragung Logische Funktionen mit NANDs Ansteuern von LEDs OR-Gatter XOR-Gatter Halbaddierer Sequentielle Schaltnetze Asynchrones Schaltnetz: Das Flip-Flop Synchrones Schaltnetz: Das RS-Latch Master-Slave-Flipflop: Das D-Flipflop bin und 10 dec Zählkaskaden mit JK-Flipflops Asynchroner 4bit-Zähler Synchroner 10-bit-Zähler Zählerdarstellung mit Sieben-Segment-Anzeige Quellen und Literatur 22 6 Tagesprotokoll 24 Abbildungsverzeichnis 29 Tabellenverzeichnis 30 Elektronikpraktikum, 7. Versuch Seite 2 von 30

3 1 Einführung Dieser Versuch (»Projekt«) ist der erste von zweien, die im Digitalteil des Elektronikpraktikums stattfinden. Dabei wird der Übergang von der diskreten Analogelektronik zur integrierten Digitalelektronik vollzogen. Zunächst wird die Übertragungslinie eines NPN-Transistors vermessen, wie schon viele Male im Analogpraktikum [1]. Diesen verwenden wir in Emitterschaltung als elektronischen Schalter. Dann wird er durch einen CMOS-Transistor ersetzt, der sich bereits im kompakten IC wiederfindet. Verschiedene Ansteueurngen werden diskutiert, die die Grundlage für digitalelektronische Informationsübertragungen darstellen. Dann bauen wir uns aus Transitoren unser eigenens NAND-Gatter. Doch die Integration lässt sich nicht aufhalten: Ein fertiges IC mit vier eingebauten Gattern wird unsere Schaltung ersetzen. Wir bauen und untersuchen boolsche Ausdrücke mit TTL- und CMOS-Schaltungen; das NAND-Gatter spannt den kompletten Raum der boolschen Algebra auf, sodass wir beliebige boolsche Funktionen f (a 1,..., a n ) : B n B ausschließlich in Verknüpfungen von NANDs entwickeln können. Wir bauen uns binäre Addierer und kommen dann zu asynchronen sequentiellen Schaltnetzen: Alle Arten von Flip-Flops, die wir zunächst aus NANDs bauen, dann aber auch zu fertigen ICs greifen. Mit diesen bauen wir dann Zähldekaden, mit denen wir am Ende 7-Segment-Anzeigen ansteuern. Zur Literatur verweise ich auf Vorlesungen zur Technischen Informatik und Hardwarearchitekturen, etwa von Brinkschulte am Institut für Informatik in Frankfurt [2], sowie die Vorlesung Digitalelektronik am Institut für Kernphysik [3]. Der Aufbau dieses Protokolles orientiert sich an den drei vorgesehenen Versuchstagen mit drei Aufgabenstellungen. Entgegen üblicher Praxis werde ich den theoretischen Hintegrund, der sich mit fortlaufendem Projekt/Protokoll zunehmend von elektrotechnischen Grundlagen hin zum logischen Entwurf digitaler Systeme (Entwurfsmethodik [2]) und damit verbundener Schwierigkeiten verschiebt, nicht kapitelweise getrennt von der Diskussion der Umsetzung trennen. Elektronikpraktikum, 7. Versuch Seite 3 von 30

4 2 Vom Transitor zur Digitalelektronik Im ersten Versuch gehören Oszilloskop und Spannungsmessgerät zur Grundausstattung. Eine überschaubare Anzahl an Bauelementen (zwei an der Zahl) wird auf einem Steckbrett verbaut und verschiedentlich ausgemessen. 2.1 Transistor als Schalter Zunächst wird der NPN-Transistor BC237 in einer Emitterschaltung verbaut (vgl. Abbildung 1), mit einem Basiswiderstand von R B = 10kΩ, Kollektorwiderstand R C = R V = 1, 2kΩ, Emitter auf Masse, einer Gleichspannungs-Versorgung von U b = 5V vom Tischnetzteil und einem rechteckigen Schaltsignal U e vom Signalgenerator mit 2V pp von einer Frequenz f = 1kHz. Die Spannung U a wurde am Oszilloskop gegen Masse gemessen und im Zweikanalbetrieb mit dem Rechtecksignal U e verglichen Theorie Diese Schaltung nennt man Emitterschaltung, weil Abbildung 1: Transistor als der Ermitter des Transitors den gemeinsamen Bezugspunkt von Eingangsspannung U e und Aus- aufgebaut [4]. Schalter, wie im Praktikum gangsspannung U a definiert [6], der in unserem Fall trivialerweise durch die Masse gegeben ist. Der Transistor, so wie er verbaut ist, wirkt als Schalter: Mit steigender Flanke an U e (Übergang 0V zu 5V) fließt in die Basis ein Signalstrom, der den Transitor durchschaltet, sodass ein Strom von U b durch den Transistor fließt und nicht mehr über den Knoten U a in Abb. 1 abfließt. Dies sei die mehr anschauliche als quantitative Erklärung für die invertierende Eigenschaft der Schaltung. Als Übertragungsfunktion U a = f (U e ) gilt also scheinbar: { 5V wenn U e = 0V f (U e ) = (1) 0V wenn U e = 2V. Am Oszilloskop wird dieses Rechteckverhalten untersucht. Beim genauen Hinschauen beobachtet man allerdings eine Hysterese, die nicht verwundert, da ein gewisser Schwellenwertcharakter nötig ist, um die idealen 0V zu erkennen. Ein einfaches Modell, um das Verhalten des Schalters zu charakterisieren, ist in Abbildung 2 skizziert. Es basiert auf der Annahme, dass das Eingangssignal sehr viel exakter einer Stufenfunktion folgt als das Ausgangssignal des Transistors. Daher geht es Elektronikpraktikum, 7. Versuch Seite 4 von 30

5 Abbildung 2: Rchteckverhalten der Schaltung aus Abbildung 1, zur Diskussion siehe Fließtext. Quelle: [4] davon aus, dass man exakt feststellen kann, wann das Eingangssignal seine Flanken besitzt, während die Flanken des Ausgangssignal derart verwaschen sind, dass man die Übergang prozentual beschreibt, und zwar jeweils auf 10% bzw 90%-Leveln des Zielsignals. Bei steigender Flanke des Eingangssignals gibt es demnach Verzögerungszeit T V, ehe der Transistor zu Schalten beginnt, was als 90% des Ausgangswertes definiert wird. Anschließend beschreibt man eine endliche Fallzeit T F, ehe das Signal auf 10% fällt. Gleiches gilt umgekehrt für die fallende Flanke des Eingangssignals, hier beschreibt man Speicherzeit T S und Anstiegszeit T A Messergebnisse Wir massen im Fall U e = 0V eine aktive Ausgangsspannung von U a = 2, 23V. Damit sind 0,9 U a = 2,088V und 0,1 U a = 0,232V. Für die Zeiten bestimmten wir T V = 280ns T S = 180ns T F = 520ns T A = 140ns Zum Vergleich: Eine Halbwelle der 1kHz-Rechteckfrequenz dauert ns, obige Zeiten bewegen sich also im Promillebereich einer solchen Halbwelle und sind ohne enormes Zeitskalenvergrößerung am Oszilloskop gar nicht beobachtbar. Nur mit (2) Elektronikpraktikum, 7. Versuch Seite 5 von 30

6 auf/ab-fallender Flankentriggerung kann man diese Signale beobachten, vgl. Abbildung 3. Abbildung 3: Screenshots der Oszilloskop-Ausgaben in drei verschiedenen Ansichten (oben U a, unten U e ): Links mehrere Rechteck-Wellen, mitte steigende U e -Flanke, rechts fallende U e -Flanke. Die abgelesenen Ergebnisse finden sich in Gleichungen CMOS-Logik In diesem Abschnitt ersetzen wir bipolare Transistoren durch Feldeffekttransistoren (d.h. MOSFETs). Dazu verwenden wir einen einzelnen CMOS-Transistor aus IC-Baustein CMOS 4007 [4, 7]. Den inneren Aufbau und die Pin-Belegung des ICs kann man Abbildung 4 entnehmen. Abbildung 4: CMOS 4007 IC Pin-Belegung, aus [7]. Die Abkürzungen stehen für Drain, Source, Gate, jeweils P und N-Anschlüsse Elektronikpraktikum, 7. Versuch Seite 6 von 30

7 2.2.1 Passive Pullup Zunächst verwendet man einen N-Kanal-CMOS (vgl. Abbildung 5), an dessen Gate (Pin 6) ein Eingangssignal U e (Funktionsgenerator mit 2V pp, 1kHz) anliegt, am Source (Pin 7) neutral die Erde und am Drain (Pin 8) Oszilloskop U a und dahinter der verstellbare Widerstand im Frontpanel (1kΩ bis 11kΩ, default 3kΩ). Festzustellen war, ob die Schaltung invertierend arbeitet: Am Oszilloskop konnte man im Zweikanalbetrieb U e gegen U a bestätigen: Ein Invertierer liegt nicht vor (vgl. Abbildung 6 links, Signale liegen quasi in Phase). Bei verschiedenen Widerständen betrachteten wir die Ausgangsamplituden U a am Oszilloskop: Bei 3kΩ erhielten wir 1,6V, bei 10kΩ schließlich 3,8V. Da die Spannung zwischen Gate und Source einen MOSFET schaltet, hat die Widerstandsänderung darauf keinen Effekt, der Drain-Strom bleibt der gleiche und definiert mit U = R I bei etwa verdreifachtem Strom die dreifache Spannung Hochfrequenzbetrieb Abbildung 5: Belegung Passive Pullup, aus [4] Im gleichen Aufbau wie vorhergehend wird die Frequenz des Rechtecksignals auf 100kHz angehoben, mit 5V pp und 2,5V Offset (statt bisher 2V pp ohne Offset mit 1kHz). Bei zunehmendem Widerstand (Swiping von 1kΩ bis 10kΩ) beobachtet man, dass aus der sauberen Rechteck-Ausgangsspannung eine sich immer mehr einer Haifischflossenkurve annähernde Ausgangsspannung entsteht (Kurve einer inversen Kondensatoraufladung). Qualitativ sieht man, dass es weniger Verzögerungszeit beim Schalten des CMOS als des NPN-Transistors gibt, wie man in den Oszilloskopaufnahmen in Abbildung 6 sieht, im Vergleich zu Abbildung Active Pullup Mit einem P- und einem N-Kanal-MOSFET baut man gemäß Abbildung 7 einen active Pullup; der Konsolenwiderstand (3kΩ) wird nun an das Gate angeschlosen. Das resultiert ein Invertierer, der bei steigendem Widerstand das Ausgangssignal gegenüber dem Eingangssignal in der Phase verscheibt (als dynamischer im Sinn eines interaktiven Effekts sehr schön am Oszilloskop sichtbar). Die Interpretation liegt auf der Hand: MOSFETs sind letztlich nur geschaltete Kapazitäten. Elektronikpraktikum, 7. Versuch Seite 7 von 30

8 Abbildung 6: Screenshots der Oszilloskop-Ausgaben in drei verschiedenen Ansichten (oben U a, unten U e ): Links mehrere Rechteck-Wellen, mitte steigende U e -Flanke, rechts fallende U e -Flanke. Der Betrieb im DC/AC-Mode des Oszilloskops bewirkte keinen nennenswerten Unterschied. T1 T2 A T3 Y B T4 Abbildung 7: Belegung Active Pullup, aus [4] Abbildung 8: NAND-Gatter aus drei CMOS-Bausteinen, aus [10] Elektronikpraktikum, 7. Versuch Seite 8 von 30

9 2.3 NAND aus CMOS-Gattern zusammenbauen Aus den zwei P-Kanal MOSFETs und zwei N-Kanal-MOSFETs des IC 4007 lässt sich ein NAND-Logikgatter bauen, siehe Abbildung Kurze Wiederholung der Boolschen Algebra Ein Logikgatter realisiert eine boolsche Funktion f : B B B, wobei B = {1, 0} die Menge der boolschen Zahlen sind, also eine Z 2 -isomorphe Gruppe. Verbreitete Gatter- Typen sind AND, NAND, OR, NOR, XOR, XNOR und NOT, wobei NOT keine zweistellige, sondern eine einstellige boolsche Funktion ist, die uns weiter oben bereits begegnet ist: NOT : B B { 0 wenn A = 1 NOT(A) = 1 wenn A = 0 Oft ist es wegen des beschränkten Wertebereiches von boolschen Funktionen eingängiger, alle Wertebelegungen mit Tabellen anzugeben. Das NAND-Gatter NAND(A, B) = A B = A B (4) ist vollständig beschrieben durch seine Wahrheitstabelle (Tabelle 1): A B A B Tabelle 1: Wahrheitstabelle des Negierten logischen Unds (NAND) In der Hardware müssen die boolschen Zustände b B = {1, 0} auf elektrische Größen I, U,... übersetzt werden. Oft geschieht die Kodierung durch Spannungen, eine Vielzahl an Logikfamilien verwendeten dabei ihre eigenen Konventionen. Sehr verbreitet ist der TTL-Pegel logisch 1 HIGH = 5V, logisch 0 LOW = 0V. Man spricht von invertierter Logik, wenn es sich genau andersrum verhält. (3) Elektronikpraktikum, 7. Versuch Seite 9 von 30

10 2.3.2 Durchführung NAND-Gatter aus CMOS-Bausteinen gemäß Abbildung 8 verhalten sich genau wie Tabelle 1, mit TTL-Logik. Das haben wir am Oszilloskop nachgemessen. In Abbildung 9 sieht man das gebaute NAND. Abbildung 9: Fotografie der Schaltung eines NANDs, welches aus vier CMOS- Bausteinen gebaut ist. Die Messung des Ausgangs erfolgt per Oszilloskop Elektronikpraktikum, 7. Versuch Seite 10 von 30

11 3 Elektrotechnische Diskussion von Gattern Der zweite Versuchsteil/-tag vollzieht den»integrationsschritt«im Sinne, dass wir nun fertige Logik-Gatter in ICs verwenden, statt sie aus Transistoren zusammenzubauen. Dies ermöglicht kompaktere Schaltungen, die schneller zusammengebaut werden können. 3.1 Ein- und Ausgangscharakteristik von NAND-ICs in TTL und CMOS Wir verwenden nun das CMOS 4011 und das TTL 74LS00. Beide sind in einem DIP-14 verpackt und stellen jeweils vier NANDs bereit. Aufpassen muss man bei der Beschaltung, die sich bei zwei NANDs zwischen der TTL- und der CMOS-Version genau andersrum verhält (das NAND ist quasi "gespiegelt"verbaut). Siehe dazu Abbildung 10. Mithilfe eines Schalterkastens (kleiner Kasten mit etwa 10 Schaltern, die zwischen 0V und 5V schalten können und mit Miniatur- Laborsteckern/Bananensteckern, etwa 2mm Breite, mit das Steckbrett verbunden werden, vgl. Abbildung 9) werden beide ICs nun nacheinander ausprobiert, in dem jeweils ein NAND in Benutzung genommen wird (Belegung der Eingänge mit je zwei Schaltern). Der Ausgang wird mit einem Voltmeter vermessen, wobei er gleichzeitig mit dem Eingang eines weiteren NANDs verbunden wird. Dieser Anschluss an ein weiteres NAND dient dazu, dass das vermessene NAND einen Lastfaktor (Fan-Out) wahrnimmt (Voltmeter sind in der Regel hochomig). Selbstverständlich kann man sofort die logischen Werte aus Tabelle 1 feststellen; sowohl am Abbildung 10: Vergleich Pinbelegung zwischen den 4xNANDs der Familien TTL 7400 und CMOS 4011, aus [11] TTL als auch CMOS-IC entspricht logisch 1 den 5V und logisch 0 den 0V (exakt: etwa 0,149V). Am Oszilloskop scheinen die Werte invertiert zu sein, deswegen haben wir letzteres nicht verwendet. Zwischen CMOS und TTL konnten wir sonst mit dem gegebenen Messverfahren keinen Unterschied feststellen. Wir haben auch mit einem etwa 50cm langen Kabel zwischen NAND-Ausgang und Messgerät etwa an der Steckdose vorbeigewackelt, konnten aber keine merkliche Ver- Elektronikpraktikum, 7. Versuch Seite 11 von 30

12 änderung (Induktion im Kabel oder ähnliches) feststellen (vgl [4], Aufgabenteil c auf Seite 10). 3.2 Übertragungskennlinie Nun werden die beiden ICs in Hinblick auf ihre Übertragungskennlinien U A = f (U E ) verglichen. Während mit dem variablen Tischnetzteil eine Spannung U E = 0..5V auf beide NAND-Eingänge gleichzeitig eingespeist wird (sodass in Tabelle 1 für die Wahrheitswerte jeweils gilt A = B, sodass sich das NAND auf ein Inverter-Gatter reduziert), wird das Ausgangssignal mit einem Voltmeter ausgemessen. Die Ergebnisse liegen tabellarisch im Anhang vor und wurden in Abbildung 11 aufgetragen. 6 5 Übertragungskennlinien CMOS vs NAND TTL-Kennlinie CMOS-Kennlinie 4 3 UA [V] U E [V] Abbildung 11: Plot der aufgenommenen Übertragungskennlinien U A = f (U E ) Die gestrichelt verbundenen Punkte der Messgrößen sollen das qualitative Verhalten illustrieren. Man erkennt, dass die CMOS-Architektur ein deutlich steileren, quasi unmessbaren Übergang an U E = 2, 55V aufweist (die gestrichelte rote Linie, die an dieser Stelle schräg verläuft, führt hier in die Irre), während die TTL-Kennlinie viel früher, Elektronikpraktikum, 7. Versuch Seite 12 von 30

13 etwa bei U E = 1V eine Kante aufweist, die aber weniger scharf ist (auf dem Oszilloskop springt die Kurve etwa dauernd hin und her). 3.3 Pulsübertragung In ähnlichem Aufbau wie im vorrangegangenen Kapitel wird nun die Pulsübertragung eines NANDs bei relativ hochfrequentem Eingang U E aufgenommen. Dazu werden die beiden Eingänge eines NANDs mit phasengleichem Rechtecksignal vom Signalgenerator (5V V pp, 2,5V Offset) belegt, sodass das NAND wieder als Invertierer arbeitet. Zwei interessante Ausgaben am Oszilloskop sieht man in Abbildung 12, jeweils auf steigende bzw. fallende Flanke getriggert. Verwendet wurde dabei ein CMOS. Abbildung 12: Oszilloskop-Screnshot der Schaltvorgänge eines CMOS-NANDs. Oben ist U E (Y 1 ) aufgetragen, unten U A (Y 2 ). Links sieht man folglich die steigende Eingangsflanke, rechts die abfallende Eingangsflanke. Selbst im Nanosekundenbereich ist die Hysterese des Gatters kaum messbar, wohl aber ein geringes Über/unterschwingen. Das weist allerdings auch das Eingangssignal auf. 3.4 Logische Funktionen mit NANDs Mit Aneinanderreihungen von NAND-Gattern können jede beliebige boolsche Funktion beschrieben werden. Exemplarisch werden dazu im Folgenden einzelne Gatter und Grundschaltungen der Hardware nachgebaut. Es wird in diesem Abschnitt grundsätzlich mit den TTL 74LS00-Bausteinen gearbeitet. Da ab dieser Stelle im Versuch LEDs vorkommen, sei hier angemerkt, dass LEDs als Logikindikatoren in einfachen Gatterschaltungen stets hinter bzw. vor einen Lastwiderstand geschaltet werden müssen, da ansonsten sehr hohe Ströme auftreten, da Elektronikpraktikum, 7. Versuch Seite 13 von 30

14 die Gatter selbst keinen großen Widerstand besitzen und quasi Masse mit VCC kurzgeschlossen wird. Wir wählten für jede Diode R = 320Ω Ansteuern von LEDs Es soll eine Schaltung gebaut werden, die eine LED zum Leuchten bringt, sobald beide Eingänge»hoch«sind (logisch 1, d.h. 5V, auf dem Schaltergerät»H«genannt, vgl. Abbildung 9). Gefragt ist also nach einem AND gemäß Wahrheitstabelle 2. Ein NAND ist lediglich ein negiertes NAND, also kann man ein NAND verwenden, um ein AND zu bauen (Abbildung 13): A B Q A B A B Tabelle 2: AND Abbildung 13: Ein AND mit einem NAND mit nachgeschaltetem Inverter bauen [12] Wir haben diese Schaltung nachgebaut, an Stelle (aus Abbildung 13) von A und B Schalter gelegt und an Q eine Diode richtung/an Masse, und dies funktioniert OR-Gatter Auch ein Or-Gatter kann man bauen, mit der Regel von De Morgan berechnet man: A B = A B = A B = (A A) (B B) (5) Also zwei Inverter, beide an ein NAND, fertig ist das OR. Funktioniert XOR-Gatter A Die Entwicklung eines XORs in NANDs kann man leicht berechnen: B Abbildung 14: Ein XOR mit vier NANDS [13] Schaltnetz, welches Gleichungen 7 beschreiben, baut. Q A B = (A (A B)) (B (A B)) (6) In Gleichung 6 treten offensichtlich fünf NAND-Operationen auf. Allerdings kann man das XOR auch mit vier NANDs bauen, in dem man interne Leitungen I 0, I 1, I 2 zulässt und das A B = I 0 I 0 A = I 1 I 0 B = I 2 I 1 I 2 = A B (7) Elektronikpraktikum, 7. Versuch Seite 14 von 30

15 Graphisch sieht das aus wie in Abbildung 14, und funktioniert Halbaddierer Ein Halbaddierer addiert zwei Boolsche Zahlen A und B mit Übertrag (Carry-Out) c gemäß Wertetabelle 3. Er wird gebaut gemäß Abbildung 15, wobei die Bezeichner in der Abbildung umzubenennen sind gemäß U := c, S := A + B. A B A + B c Tabelle 3: Halbaddierer Abbildung 15: Ein Halbaddierer mit NANDs [17] Die Schaltung wurde gebaut und auf Richtigkeit geprüft. 4 Sequentielle Schaltnetze Am dritten Versuchstag bzw. dritten Versuchsteil werden sequentielle Schaltnetze gebaut. Das sind Schaltnetze, bei denen Ausgänge von Gattern an Eingänge von vorrangegangenen Gattern eingebunden werden. Aus Physikersicht mag dies eine wenig erstaunliche Eigenschaft sein, vor allem wenn man bereits mit Regeln der Stromkreisverzweigung oder Impedanzmatritzen komplizierte Schaltkreise untersucht hat, allerdings kommen in der technischen Informatik andere Methoden zum Einsatz, um solche dynamischen Schaltungen zu untersuchen. In der Regel arbeitet man mit Pegeldiagrammen und untersucht die Effekte der Rückkopplung mit Automaten, wo man kritische Läufe sehr systematisch untersuchen kann [2, Kapitel 5]. Allgemein unterscheidet man zwischen asynchronen und synchronen Schaltnetzen. Im letzten Schritt dieses Kapitels wird uns ein synchrones, also durch einen zentralen Takt gesteuertes Schaltnetz begegnen. Synchrone Netze werden in der Regel als einfacher zu handhaben betrachet, zumal sie sich durch endliche Automaten beschreiben lassen [2, Kapitel 6]. In diesem Kapitel werden zunächst verschiedene Formen von Flip-Flops gebaut, die allesamt asynchron sind, und später aus diesen, in integrierter Form, dann Zählschaltungen, die zuletzt eine Sieben-Segment-Anzeige antreiben werden. Elektronikpraktikum, 7. Versuch Seite 15 von 30

16 4.1 Asynchrones Schaltnetz: Das Flip-Flop Aus zwei NANDs eines 4011 CMOS bauen wir ein ungetaktetes RS-Flipflop, wie in Abbildung 16 gezeigt. Die Eingänge wurden mit Schaltern belegt, die Invertierung fand im Kopf statt. Die Ausgänge Q und Q wurden mit LEDs indiziert, den Aufbau zeigt Abbildung 18. Tabelle 4 gibt die Wahrheitstabelle und Interpretationen der vier Eingangszustände eines Flipflops wieder. Die Signale S, R stehen jeweils für Set und Reset, Q ist der im Flipflop gespeicherte Wert. Ein Flipflop ist ein interessantes Untersuchungsobjekt für asynchrone Schaltnetze, da die Rückkopplung auch zu einer Race Condition (Oszillierender Zustand) führen kann. In unserem Fall leuchten dann beide LEDs etwas schwach (da sie beide hochfrequent oszillierend angesteuert werden). S R & & Q Q Abbildung 16: Ein RS-FlipFlop aus zwei NANDs [14] S R Q Bedeutung 1 1 x unverändert Wert speichern Wert zurücksetzen Q = Q, Oszillation Tabelle 4: Wahrheitstabelle und Bedeutung der Flipflop-Eingabewerte, gemäß [2, 3] 4.2 Synchrones Schaltnetz: Das RS-Latch Das RS-Latch unterscheidet sich vom RS-Flipflop durch eine Taktpegelsteuerung, die mit einem zusätzlichen Eingang C implementiert wird (siehe Abbildung 17), welcher mit den beiden Eingangssignalen S und R verundet (und negier, also NAND) wird, vgl. Wahrheitstabelle 5. Dieser sehr verbreitete Flip-Flop-Typ wird meist einfach Latch bezeichnet. Den Steckbrett-Aufbau mit einem 4011-IC sieht man in Abbildung 18. S C R & & & & Q Q Abbildung 17: Ein taktgesteuertes RS- FlipFlop aus vier NANDs [15] c Bedeutung 0 S, R ignoriert 1 Funktion gemäß Tabelle 5 Tabelle 5: Erweiternde Taktpegelsteuerung des Latch, gemäß [2, 3] Elektronikpraktikum, 7. Versuch Seite 16 von 30

17 Abbildung 18: Foto vom gleichzeitigen Aufbau des asynchronen RS-Flipflops (oben, mit roten LEDs) und Latch (unten, mit grünen LEDs, auch erkennbar an den das 4011 CMOS-IC überbrückenden Kabeln, die die vier NANDs miteinander verbinden). 4.3 Master-Slave-Flipflop: Das D-Flipflop Die Master-Slave-Flipflop-Bauform ist eine Realisierungsform von zweiflankengesteuerten Flipflops wie dem D-Flipflop. Wem RS-Flipflops fremd vorkommen, der wird D- Flipflops lieben: Hier gibt es wirklich nur einen Dateneingang D, der dem gespeicherten Ausgang Q entgegensteht. Aufbau und Testen erfolgt analog zu oben gezeigten Beispielen, daher sei für das Schaltbild auf die Anleitung [4, Abbildung 1.8, Seite 13] verwiesen. Ein Vorteil des D-Flipflops ist, dass der im RS-Flipflop verbotene Zustand vermieden wird. Man spricht allerdings von einem»transparentem«flipflop, weil D und Q direkt verbunden sind, dieser direkte Durchgriff macht dein Einsatz in synchronen Schaltungen unmöglich. Elektronikpraktikum, 7. Versuch Seite 17 von 30

18 bin und 10 dec Zählkaskaden mit JK-Flipflops Wir folgen nun der nächsten Integrationsstufe und verwenden das CMOS IC CD4027 mit zwei integrierten JK-Flipflops, statt dem Selberbau mit einer erheblichen Menge an NANDs (ein JK-Flipflop lässt sich mit sechs NANDs bauen, sind also drei ICs und jede Menge Drähte, die im IC CD4027 Platz finden). Desweiteren erlaubt das IC die Verwendung der beiden verbauten FlipFlops auch als RS-Flipflops. Diese wollen wir nicht benutzen. Damit das IC richtig funktioniert, müssen alle Pins wohldefiniert belegt sein, daher setzt man alle R = S = 0 (GND). In Abbildung 19 ist der Belegungsplan des ICs gezeichnet, alternativ bietet sich Abbildung 1.9 in [4] an. Abbildung 19: CMOS 4027 IC Pin-Belegung, aus [8] Asynchroner 4bit-Zähler Mit vier JK-Flipflops lässt sich gemäß Abbildung 20 eine vier-bit-zähldekade realisieren, mit Takteingang E und Ausgängen Q i, i [0, 3], die jeweils die Takteingänge für die dahinterliegenden Flipflops darstellen (C i+1 = Q i ). Alle J = K = 1, sodass die JK-Flipflops sich ständig im Toggle-Zustand befinden. Abbildung 20: Asynchroner 4 Bit-Dual-Vorwärtszähler, aus [20]. Damit wird die Funktionsweise der Zähldekade schnell ersichtlich: Immer wenn sich eine Binärziffer ändert, ändert sich das nächsthöherwertige Bit auch (Übertrag). Die entstehende Zahl weist also mit Q 0 das niederwertigste Bit auf, welches sich zu Elektronikpraktikum, 7. Versuch Seite 18 von 30

19 jedem Takt E = 1 togglet, und mit Q 3 das höchstwertigste Bit. Die Dualdarstellung der dadurch dargestellten Zahl lautet z = Q 0 + Q Q Q (8) diese Zahl geht von z = 0 bis z = 1111 bin = 16 dec und fängt dann wieder von vorne an. Abbildung 21 zeigt eine Momentaufnahme des Aufbaus. Die dargestellte Zahl entspricht einer 1101 bin = 13 dec, wenn man die LEDs von oben nach unten abliest. Abbildung 21: Foto des aufgebauten 4bit-Zählers. Gut zu erkennen sind die beiden ICs, die insgesamt 4 JK-Flipflops darstellen. Die grünen Kabel sind Masseleitungen, die roten Leitungen sind auf VCC, gelbe Leitungen verbinden IC-Ein- und Ausgänge und orange dienen zum Anschluss der LEDs. Die Widerstände verbinden diese wiederum mit Masse Synchroner 10-bit-Zähler Möchte man die resultierende Zahl dezimal darstellen, zum Beispiel als Ziffer eines Taschenrechners, so müsste der Überlauf der Ziffer bereits zu z = 10 dec = 1010 bin stattfinden. Dies lässt sich realisieren, in dem man die Zählstufe um zusätzliche Logik erweitert, die verschiedene Ziffern miteinander in Beziehung setzt. Da diese Rückkopplung als asynchrones Zählwerk quasi nicht mehr beherrschbar ist, baut man die Elektronikpraktikum, 7. Versuch Seite 19 von 30

20 Zähldekade als synchrones Schaltwerk auf: Alle Flipflops werden zum gleichen Zeitpunkt vom gemeinsamen Takt T (vgl. Abbildung 22) geschaltet, als Ein- und Ausgänge werden jeweils Verundungen der vorrangegangenen Signale verwendet, sodass jeweils X i = X i (Q 0, Q 1, Q 2, Q 3 ), wobei i [0, 3] und X {J, K}, und Q i sind die Ausgänge; in Abbildung 22 genannt Q 1,..., Q 4 = A,..., D. Gemäß Gleichung 8 ergibt sich daraus eine Dezimalzahl z [0,..., 9]. Abbildung 22: Synchroner dezimaler Vorwärtszähler, aus [4, Abbildung 1.10]. 4.5 Zählerdarstellung mit Sieben-Segment-Anzeige Zuguterletzt haben wir den im letzten Abschnitt gebauten Dezimalzähler an eine Sieben- Segment-Anzeige, wie sie in 23 dargestellt ist, angeschlossen. Dazu muss eine Übersetzung stattfinden zwischen den sieben geradezu»willkürlichen«elementen, die eine Dezimalziffer darstellen sollen, zu den vier Bit, die eine Dezimalziffer nach Gleichung 8 kodieren. Das durch Gleichung 8 vollständig beschriebene Basisumrechnungsverfahren, also die Dualkodierung von Dezimalziffern, hört landläufig auf den Namen BCD-Code (Binary Coded Decimal). Das CMOS IC CD4543 [9] kann die Übersetzung zwischen BCD und Segment-Kanälen vornehmen. Für einen Beschaltungsplan sei auf [4, Abbildung 1.11] in der Anleitung verwiesen. Die letzte Seite des Tagesprotokolls 6 umfasst auch einen ausführlichen Verdrahtungsplan aller drei beteiligten ICs (vier JK-Flipflops, 3 ANDs). Abbildung 24 zeigt ein Foto des Aufbaus. Enorm wichtig bei Belegung des CMOS IC CD4543 ist, dass die Signale Latch Enable (LE), sowie Phase (PI) und Blanking (BI) nicht unbelegt sind, sondern mit LE = 1 und PI = BI = 1 beschaltet werden, da ansonsten gar nichts passiert. Elektronikpraktikum, 7. Versuch Seite 20 von 30

21 Abbildung 23: Kollage von Abbildungen zur Sieben-Segment-Anzeige. Links die Draufsicht der Hochkant-Version, aus [4, Abbildung 1.13], ebenso rechts unten die Pin- Belegung des Bausteins. Rechts oben ein Foto des Elements aus [16]. Abbildung 24: Schnappschuss des Siebensegment-Zählers. Links die unveränderte Schaltung aus Foto 21. Orange Kabel führen die Signale, die die LEDs antreiben, an den Übersetzer-IC CD4543, weitere orange Signale geben seine Ausgaben an die Sieben- Segment-Anzeige weiter. Elektronikpraktikum, 7. Versuch Seite 21 von 30

22 5 Quellen und Literatur [1] Protokolle des Analogpraktikums im SS 2013, Sven Köppel [2] Uwe Brinkschulte: Vorlesung Hardwarearchitekturen und Rechensysteme im SS 2010 Materialien SS 2013: [3] Ingo Fröhlich: Vorlesung Digitalelektronik [4] Ingo Fröhlich: Digitalpraktikum 1. Projekt, Versuchsanleitung (ebenda) [5] Rohe: Elektronik für Physiker: eine Einführung in analoge Grundschaltungen, Stuttgart, Teubner 1978 [6] Elektronik-Kompedium: Emitterschaltung [7] Datasheet CMOS komplementäre CMOS-Transistor-Paare und 1 Inverter [8] Datasheet CMOS Zwei JK-Flipflops [9] Datasheet CMOS CD 4543 von Texas Instruments: CMOS BCD-to-Seven-Segment Latch/Decoder/Driver for Liquid-Crystal Displays [10] CMOS-NAND-Schematics, Bildquelle Wikimedia Commons [11] TTL 7400 vs CMOS 4011, Bildquelle Wikimedia Commons [12] AND from NAND, Bildquelle Wikimedia Commons [13] XOR from NAND, Bildquelle Wikimedia Commons [14] SR-FlipFlop from two NANDs, Bildquelle Wikimedia Commons [15] SR-Latch mit vier NANDs, gemäß ISO, Bildquelle Wikimedia Commons svg Elektronikpraktikum, 7. Versuch Seite 22 von 30

23 [16] Seven Segment Display Photos, Bildquelle [17] Halbaddierer Schematics, Bildquelle fig1_8_1.gif [18] Elektriker-Multimeter Fluke 117 mit berührungsloser Spannungsprüfung, technische Daten: [19] Elektronik Kompedium: Asynchroner 4-Bit-Dual-Vorwärtszähler, Bildquelle Elektronikpraktikum, 7. Versuch Seite 23 von 30

24

25

26

27

28

29 Abbildungsverzeichnis 1 Transistor als Schalter, wie im Praktikum aufgebaut [4] Rchteckverhalten der Schaltung aus Abbildung 1, zur Diskussion siehe Fließtext. Quelle: [4] Screenshots der Oszilloskop-Ausgaben in drei verschiedenen Ansichten (oben U a, unten U e ): Links mehrere Rechteck-Wellen, mitte steigende U e - Flanke, rechts fallende U e -Flanke. Die abgelesenen Ergebnisse finden sich in Gleichungen CMOS 4007 IC Pin-Belegung, aus [7]. Die Abkürzungen stehen für Drain, Source, Gate, jeweils P und N-Anschlüsse Belegung Passive Pullup, aus [4] Screenshots der Oszilloskop-Ausgaben in drei verschiedenen Ansichten (oben U a, unten U e ): Links mehrere Rechteck-Wellen, mitte steigende U e - Flanke, rechts fallende U e -Flanke. Der Betrieb im DC/AC-Mode des Oszilloskops bewirkte keinen nennenswerten Unterschied Belegung Active Pullup, aus [4] NAND-Gatter aus drei CMOS-Bausteinen, aus [10] Fotografie der Schaltung eines NANDs, welches aus vier CMOS-Bausteinen gebaut ist. Die Messung des Ausgangs erfolgt per Oszilloskop Vergleich Pinbelegung zwischen den 4xNANDs der Familien TTL 7400 und CMOS 4011, aus [11] Plot der aufgenommenen Übertragungskennlinien U A = f (U E ) Oszilloskop-Screnshot der Schaltvorgänge eines CMOS-NANDs. Oben ist U E (Y 1 ) aufgetragen, unten U A (Y 2 ). Links sieht man folglich die steigende Eingangsflanke, rechts die abfallende Eingangsflanke Ein AND mit einem NAND mit nachgeschaltetem Inverter bauen [12] Ein XOR mit vier NANDS [13] Ein Halbaddierer mit NANDs [17] Ein RS-FlipFlop aus zwei NANDs [14] Ein taktgesteuertes RS-FlipFlop aus vier NANDs [15] Foto vom gleichzeitigen Aufbau des asynchronen RS-Flipflops (oben, mit roten LEDs) und Latch (unten, mit grünen LEDs, auch erkennbar an den das 4011 CMOS-IC überbrückenden Kabeln, die die vier NANDs miteinander verbinden). Video des Schaltvorgangs online unter [19] CMOS 4027 IC Pin-Belegung, aus [8] Asynchroner 4 Bit-Dual-Vorwärtszähler, aus [20] Elektronikpraktikum, 7. Versuch Seite 29 von 30

30 21 Foto des aufgebauten 4bit-Zählers. Gut zu erkennen sind die beiden ICs, die insgesamt 4 JK-Flipflops darstellen. Die grünen Kabel sind Masseleitungen, die roten Leitungen sind auf VCC, gelbe Leitungen verbinden IC-Ein- und Ausgänge und orange dienen zum Anschluss der LEDs. Die Widerstände verbinden diese wiederum mit Masse Synchroner dezimaler Vorwärtszähler, aus [4, Abbildung 1.10] Kollage von Abbildungen zur Sieben-Segment-Anzeige. Links die Draufsicht der Hochkant-Version, aus [4, Abbildung 1.13], ebenso rechts unten die Pin-Belegung des Bausteins. Rechts oben ein Foto des Elements aus [16] Schnappschuss des Siebensegment-Zählers. Links die unveränderte Schaltung aus Foto 21. Orange Kabel führen die Signale, die die LEDs antreiben, an den Übersetzer-IC CD4543, weitere orange Signale geben seine Ausgaben an die Sieben-Segment-Anzeige weiter Tabellenverzeichnis 1 Wahrheitstabelle des Negierten logischen Unds (NAND) AND Halbaddierer Wahrheitstabelle und Bedeutung der Flipflop-Eingabewerte, gemäß [2, 3] 16 5 Erweiternde Taktpegelsteuerung des Latch, gemäß [2, 3] Elektronikpraktikum, 7. Versuch Seite 30 von 30

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik ELEKTRONIKPRAKTIKUM DIGITALTEIL Institut für Kernphysik Version 2018 1 2 Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Aufgabenstellung 1. Bestimmung einer Übertragungskennlinie und

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

1 Wiederholung einiger Grundlagen

1 Wiederholung einiger Grundlagen TUTORIAL MODELLEIGENSCHAFTEN Im vorliegenden Tutorial werden einige der bisher eingeführten Begriffe mit dem in der Elektrotechnik üblichen Modell für elektrische Netzwerke formalisiert. Außerdem soll

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

Elektronik Praktikum Operationsverstärker 2 (OV2)

Elektronik Praktikum Operationsverstärker 2 (OV2) Elektronik Praktikum Operationsverstärker 2 (OV2) Datum: -.-.2008 Betreuer: P. Eckstein Gruppe: Praktikanten: Versuchsziele Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Schaltung eines OPV als invertierenden

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 2 Name: Pascal Hahulla Matrikelnr.: 207XXX Thema: Widerstände und Dioden Versuch durchgeführt

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Der Avalanche-Generator. Funktionsprinzip und Versuche

Der Avalanche-Generator. Funktionsprinzip und Versuche Der Avalanche-Generator Funktionsprinzip und Versuche ACHTUNG: In der hier beschrieben Schaltung treten Spannungen über 50V auf!!! 1(7) Das Avalanche-Prinzip Der Avalanche-Effekt ( avalanche = Lawine )

Mehr

Übungsaufgaben zum 2. Versuch. Elektronik 1 - UT-Labor

Übungsaufgaben zum 2. Versuch. Elektronik 1 - UT-Labor Übungsaufgaben zum 2. Versuch Elektronik 1 - UT-Labor Bild 2: Bild 1: Bild 4: Bild 3: 1 Elektronik 1 - UT-Labor Übungsaufgaben zum 2. Versuch Bild 6: Bild 5: Bild 8: Bild 7: 2 Übungsaufgaben zum 2. Versuch

Mehr

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik Humboldt-Universität zu Berlin Institut für Physik 1. Einführung Versuch 8 Digitale Logik Im Unterschied zu analogen oder linearen Schaltungen sind logische Schaltungen zur Übertragung zweier bestimmter

Mehr

Halbleiterbauelemente

Halbleiterbauelemente Mathias Arbeiter 20. April 2006 Betreuer: Herr Bojarski Halbleiterbauelemente Statische und dynamische Eigenschaften von Dioden Untersuchung von Gleichrichterschaltungen Inhaltsverzeichnis 1 Schaltverhalten

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V Kojak-Sirene: Experimente zur Funktionsweise 1. astabile Kippstufe 2. astabile Kippstufe Die Schaltung der Kojak-Sirene besteht aus zwei miteinander verbundenen astabilen Kippstufen (Anhang) und einem

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

ELEXBO A-Car-Engineering

ELEXBO A-Car-Engineering 1 Aufgabe: -Bauen Sie alle Schemas nacheinander auf und beschreiben Ihre Feststellungen. -Beschreiben Sie auch die Unterschiede zum vorherigen Schema. Bauen Sie diese elektrische Schaltung auf und beschreiben

Mehr

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes.

4. Jeder Knoten hat höchstens zwei Kinder, ein linkes und ein rechtes. Binäre Bäume Definition: Ein binärer Baum T besteht aus einer Menge von Knoten, die durch eine Vater-Kind-Beziehung wie folgt strukturiert ist: 1. Es gibt genau einen hervorgehobenen Knoten r T, die Wurzel

Mehr

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen Grundlagenlabor Digitaltechnik ITEM GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA

Mehr

Praktikum Physik. Protokoll zum Versuch: Wechselstromkreise. Durchgeführt am 08.12.2011. Gruppe X

Praktikum Physik. Protokoll zum Versuch: Wechselstromkreise. Durchgeführt am 08.12.2011. Gruppe X Praktikum Physik Protokoll zum Versuch: Wechselstromkreise Durchgeführt am 08.12.2011 Gruppe X Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.de) Betreuer: Wir bestätigen hiermit, dass wir das

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm. Praktikum Physik Protokoll zum Versuch: Kennlinien Durchgeführt am 15.12.2011 Gruppe X Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.de) Betreuer: Wir bestätigen hiermit, dass wir das Protokoll

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten Das große x -4 Alles über das Wer kann beantragen? Generell kann jeder beantragen! Eltern (Mütter UND Väter), die schon während ihrer Elternzeit wieder in Teilzeit arbeiten möchten. Eltern, die während

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Elektrische Messtechnik, Labor

Elektrische Messtechnik, Labor Institut für Elektrische Messtechnik und Messsignalverarbeitung Elektrische Messtechnik, Labor Messverstärker Studienassistentin/Studienassistent Gruppe Datum Note Nachname, Vorname Matrikelnummer Email

Mehr

Experiment 4.1: Übertragungsfunktion eines Bandpasses

Experiment 4.1: Übertragungsfunktion eines Bandpasses Experiment 4.1: Übertragungsfunktion eines Bandpasses Schaltung: Bandpass auf Steckbrett realisieren Signalgenerator an den Eingang des Filters anschließen (50 Ω-Ausgang verwenden!) Eingangs- und Ausgangssignal

Mehr

Binär Codierte Dezimalzahlen (BCD-Code)

Binär Codierte Dezimalzahlen (BCD-Code) http://www.reiner-tolksdorf.de/tab/bcd_code.html Hier geht es zur Startseite der Homepage Binär Codierte Dezimalzahlen (BCD-) zum 8-4-2-1- zum Aiken- zum Exeß-3- zum Gray- zum 2-4-2-1- 57 zum 2-4-2-1-

Mehr

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung Oszilloskope Oszilloskope sind für den Elektroniker die wichtigsten und am vielseitigsten einsetzbaren Meßgeräte. Ihr besonderer Vorteil gegenüber anderen üblichen Meßgeräten liegt darin, daß der zeitliche

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Elektrische Messtechnik Protokoll - Bestimmung des Frequenzgangs durch eine Messung im Zeitbereich

Elektrische Messtechnik Protokoll - Bestimmung des Frequenzgangs durch eine Messung im Zeitbereich Elektrische Messtechnik Protokoll - Bestimmung des Frequenzgangs durch eine Messung im Zeitbereich André Grüneberg Janko Lötzsch Mario Apitz Friedemar Blohm Versuch: 19. Dezember 2001 Protokoll: 6. Januar

Mehr

Physikalisches Praktikum I Bachelor Physikalische Technik: Lasertechnik, Biomedizintechnik Prof. Dr. H.-Ch. Mertins, MSc. M.

Physikalisches Praktikum I Bachelor Physikalische Technik: Lasertechnik, Biomedizintechnik Prof. Dr. H.-Ch. Mertins, MSc. M. Physikalisches Praktikum Bachelor Physikalische Technik: Lasertechnik, Biomedizintechnik Prof. Dr. H.-Ch. Mertins, MSc. M. Gilbert E 0 Ohmsches Gesetz & nnenwiderstand (Pr_Ph_E0_nnenwiderstand_5, 30.8.2009).

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Theoretische Informatik SS 04 Übung 1

Theoretische Informatik SS 04 Übung 1 Theoretische Informatik SS 04 Übung 1 Aufgabe 1 Es gibt verschiedene Möglichkeiten, eine natürliche Zahl n zu codieren. In der unären Codierung hat man nur ein Alphabet mit einem Zeichen - sagen wir die

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

40-Tage-Wunder- Kurs. Umarme, was Du nicht ändern kannst.

40-Tage-Wunder- Kurs. Umarme, was Du nicht ändern kannst. 40-Tage-Wunder- Kurs Umarme, was Du nicht ändern kannst. Das sagt Wikipedia: Als Wunder (griechisch thauma) gilt umgangssprachlich ein Ereignis, dessen Zustandekommen man sich nicht erklären kann, so dass

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage:

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Zählen und Zahlbereiche Übungsblatt 1 1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Für alle m, n N gilt m + n = n + m. in den Satz umschreiben:

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr