E l e k t r o n i k I

Größe: px
Ab Seite anzeigen:

Download "E l e k t r o n i k I"

Transkript

1 Fachhochschule Südwestfalen Hochschule für Technik und Wirtschaft E l e k t r o n i k I Dr.-Ing. Arno Soennecken EEX European Energy Exchange AG Neumarkt Leipzig Vorlesung Digitale Schaltungen im WS 2002/03 Elektronik I Mob.: (+49)173/ Fax: (+49)341/ arno.soennecken@eex.de

2 5. Digitale Schaltungen Begriffliche Abgrenzung zw. analoger und digitaler Signalverarbeitung analog (gr.: entsprechend, ähnlich) digital (ziffernmäßig, mehrwertig) Analoge Schaltungstechnik: Signalverarbeitung mit Vergleichsgrößen das steuernde Signal bestimmt mit seiner Größe nach gegebener Gesetzmäßigkeit die Ausgangsgröße jedem Wert der steuernden Eingangsgröße ist ein bestimmter Betrag der Ausgangsgröße zugeordnet Analoge Signale haben meistens einen kont. Verlauf Folie 2 (WS 2002/03)

3 Digitaltechnik: Fast ausschließlich zweiwertige Signale (binär oder dual) Drei- und mehrwertige Signale untergeordnete Bedeutung Demnach kennt das binär-digitale Signal zwei elementare Zustände: Signal vorhanden Signal nicht vorhanden Die Wertigkeit einer digitalen Information wird mit Null ( 0 ) oder Eins ( 1 ) gekennzeichnet Häufig findet man bei binären elektrischen Größen die Zuordnung der zwei möglichen Wertbereiche: 0, L-Signal: tieferer Spannungspegel 1, H-Signal: höherer Spannungspegel Folie 3 (WS 2002/03)

4 Struktur einer digitalen Steuerung: Folie 4 (WS 2002/03)

5 5.1 Digitale Signalgeber Signalgeber (Initiatoren) arbeiten häufig kontaktlos bzw. berührungslos (relativ verschleißfrei, Wartungsfreiheit): z.b. induktiv, magnetische, kapazitive, optoelektronische Signalgeber Induktiver Geber... Sprechen beim Annähern von elektr. leitenden Gegenständen an Skizzierung der Funktionsweise: Oszillator schwingt mit einer Frequenz, die durch den Schwingungskreis C 1 L 1 bestimmt ist, wenn keine Annäherung erfolgt am Trigger wirkt die Wechselspannung des Oszillators auf R 4, n 1 & C 2 die negative Halbwelle lädt C 2 auf; die positive Folie 5 (WS 2002/03)

6 Halbwelle wird durch die Diode n 1 gesperrt C 2 behält sein negatives Potential T 2 sperrt T 3 leitet am Ausgang liegt L-Signal Nähert sich ein elektr. Leitender Gegenstand dem magnet. Stromfeld, bilden sich in ihm Wirbelströme aus, die dazuführen, dass die Rückkopplung über T 1 und L 2 kleiner eins wird Schwingungen des Oszillators setzen aus Kondensator kann sich über R 6 und R 8 entladen, so dass Ausgang auf H-Potential liegt; Genauigkeit der Ansprechwerte induktiver Geber: 0,1 mm Kapazitiver Signalgeber diese Signalgeber kommen zum Einsatz, wenn die zu messende Größe die Kapazität des Kondensators verändert Veränderung der Fläche bzw. Plattenabstand oder des zugehörigen Dielektrikums Folie 6 (WS 2002/03)

7 Skizzierung der Funktionsweise: Strom durch Kondensator hängt nur von seiner Kapazität ab (Frequenz und Ausgangsspannung des Oszillators konst.); durch Annäherung bzw. Berühren der Tastfläche mit einem Gegenstand ändert sich die Kapazität Änderung des Differenzstromes (i 1 -i 2 ) in Brückenkreis und damit wird Trigger angesteuert (s.o.) Optoelektronischer Signalgeber Die höchste Empfindlichkeit der optoelektr. Bauelemente liegt vielfach im infraroten oder ultravioletten Bereich In digitalen Schaltungen kommen bevorzugt Fotodioden bzw. Fototransi storen zum Einsatz (Ursache hohe Grenzfrequenzen, Anstiegszeiten des Fotostroms liegen im ns-bereich) Folie 7 (WS 2002/03)

8 Passive Bauelemente: Fotowiderstände Aktive Baulemente: Fotoelemente (lichtabhängige Spannungs- bzw. Stromquellen) Fotodiode in Sperrichtung arbeitet als lichtabhängiger Widerstand (s. zwei Beispiele) letztes Prinzip ist am besten für relative bzw. inkrementale Maßverfahren geeignet 5.2 Verknüpfungsglieder Aus logischen oder booleschen Grundverknüpfungen zweier oder mehrerer Größen lassen sich in der Regel andere Verknüpfungen ableiten;z.b. durch Negation: AND-Funktion NAND-Funktion OR-Funktion NOR-Funktion usw. Notwendig sind aber auch Speicher, Register, Zähler und Zeitglieder Folie 8 (WS 2002/03)

9 Folie 9 (WS 2002/03)

10 Inverter (NOT-Funktion) als Grundbaustein digitaler Schaltungen diskrete Schaltung Schaltzeichen: alt, neu Antivalenz oder Exklusiv-OR Ausgang H-Pegel, wenn Eingangssignale E 1 & E 2 unterschiedlich A = (E 1 E 2 ) (E 1 E 2 ) Folie 10 (WS 2002/03)

11 Aquivalenz-Funktion Ausgang H-Pegel, wenn Eingangssignale E 1 & E 2 gleich A = (E 1 E 2 ) (E 1 E 2 ) Rechenregeln für logische Verknüpfungen Kommutatives Gesetz der Konjunktion oder der Disjunktion A B = B A A B = B A Assoziativgesetz der Konjunktion oder der Disjunktion A (B C) = (A B) C A (B C) = (A B) C Distributivgesetze A (B C) = (A B) (A C) A (B C) = (A B) (A C) Gesetz nach De Morgan (Umgang von Negationen) A B = A B A B = A B Folie 11 (WS 2002/03)

12 5.3 Schaltkreisfamilien DTL-Technik(Diode-Transistor- Logik) Eingangsdioden erhöhen mit ihren Schleusenspannungen den Signalhub und entkoppeln die Eingangssignale Für höheren Störabstand können eine oder mehrere Dioden n 4 zum Einsatz kommen Versorgungsspannung: V DTLZ-Technik (Diode-Transistor- Logik mit Zener-Effekt) Versorgungsspannung: V (High Level Logic) Folie 12 (WS 2002/03)

13 Hubdiode n 4 wird durch Z-Diode ersetzt Durch Z-Diode Störabstand ~ 5 V, geringere Temperaturanhängigkeit (Industrieelektronik) TTL-Technik (Transistor-Transistor-Logik) Versorgungsspannung: V Multiemittertransistor anstelle der Eingangsdioden Einfachere Herstellung Schnellere Schaltzeiten (Umladevorgänge der Sperrschicht- & Diffusionskapazitäten entfallen) 6 ns Gegentakt-Ausgangsstufe (T 3 & T 4 ) in beiden Schaltzuständen hochohmig, auch bei kapazitiven Lasten gute Treibereigenschaften Folie 13 (WS 2002/03)

14 ECL-Technik Emittergekoppelte Schaltung (Emitter-Coupled-Logic) ist ungesättigte Schaltung: keine Übersteuerung der Transistoren, sie arbeiten im linearen Bereich bzw. im Sperrbereich; Verzögerungszeiten ~ 1ns (keine übersch. Basisladungen!) Eingangsteil: Differenzverstärker; Ausgangsteil: invertierender & nicht invertierender Ausgang (Emitterfolger, Spannungsdifferenz: 600 mv zwischen Ausgang und Basispotential Relativ hoher Leistungsverbrauch Versorgungsspannung: -5 V Folie 14 (WS 2002/03)

15 HLL-Technik Versorgungsspannung: V (High Level Logic) Hoher statischer Störabstand Kann in unmittelbarer Nähe von Motoren & Leistungsschaltern und über langen Leitungen arbeiten Schaltzeiten ~ 100ns MOS-Technik (NMOS und CMOS-Technik) MOS-Technik (Metal Oxid Semiconductor); hohe Funktionsdichte (geringer Platzbedarf), niedrige Verlustleistung NMOS: V CMOS: V (komplementäre MOS-FET s) Folie 15 (WS 2002/03)

16 Folie 16 (WS 2002/03)

17 5.4 Flipfloparten Speicher bzw. Flipflops dienen i.d.r. der vorübergehenden oder dauerhaften Zustandsspeicherung Bestimmte Zusammenschaltungen von Flipflops eignen sich zum Aufbau von Zählern und Registern Beispiel für ein Grundflipflop: bistabile Kippstufe; Flipflop kann aus NORoder NAND-Gatter in der dargestellten Weise realisiert werden R=S=L beim NAND-Flipflop nicht zulässig! Diese Grundflipflops nennt man auch ungetaktete Flipflops bzw. auch asynchrone Kippstufen Folie 17 (WS 2002/03)

18 Eine andere Flipflopart sind taktgesteuerte, synchrone oder auch sequentielle Schaltungen. Erst der Taktimpuls löst die Umschaltung aus. Warum der Wandel von asynchronen zu synchronen Schaltungen? Bei Serienbetrieb sind asynchrone zu langsam und zudem störanfälliger Synchrone Schaltungen haben sich bei der Einführung monolithisch integrierter Schaltungen durchgesetzt. RS-Flipflop... läßt sich realisieren, indem man am Eingang des RS-Grundflipflops zwei NAND-Gatter vorschaltet (s.r.; Funktion eines Tors) Folie 18 (WS 2002/03)

19 Wahrheitstabelle des RS-Flipflops: R S C Q Q Bemerkungen L L L L L H L H L Schaltungszustand bleibt erhalten Schaltungszustand bleibt erhalten Schaltungszustand bleibt erhalten L H H H L setzen H L L H L gesetzt H L H L H löschen H H L L H gelöscht H H H H H nicht erlaubter Zustand Folie 19 (WS 2002/03)

20 Flipflops mit Taktflankensteuerung Im Bild ein Beispiel für ein Flipflop, das auf eine negative Signalflanke anspricht Im Gegensatz zu den vorgenannten Flipflops übernimmt die Steuerung hier nicht ein statisches sondern ein dynamisches Signal (Taktflanke) sog. Trigger-Flipflop Prinzip der Taktflankensteuerung beruht auf Zwischenspeicherung der Eingangssignale: zw. Eingangslogik und Ausgangsflipflop wird ein Zwischenspeicher geschaltet (z.b. RC- Kombination oder Grundflipflop) Folie 20 (WS 2002/03)

21 Master-Slave-Flipflop (MS-Flipflop)... sind Schaltungen mit Zwischenspeicher also ein Flipflop mit Taktflankensteuerung Im Gegensatz zum RS-Flipflop sind beim JK-MS-Flipflop alle Eingangskombinationen zulässig Steuerung durch negative Taktflanke Für Funktionsweise wesentlich: (1) Kombination der Eingangslogik mit den rückgekoppelten Ausgängen Q bzw. Q; (2) jeweilige Zustandsübernahme vom Masterdurch das nachgeschaltete Slave- Flipflop Folie 21 (WS 2002/03)

22 Folie 22 (WS 2002/03)

23 5.5 Analog-Digital- & Digital-Analog-Umsetzer Analog-Digital-Umsetzer (ADU) Umformung einer physikalischen Größe von der analogen in die digitale Darstellungsform z.b.adu nach dem Zeitverfahren: Z = f p t t u e Meßgenauigkeit: 0,1 % des Endwertes Folie 23 (WS 2002/03)

24 ADU nach dem Doppelintegrationsverfahren (Dual- Slope-Converter): u a = - 1 R 1 C f U e T 1 T 2 (Entladezeit) U e U ref T 1 Z = f p T 2 Meßgenauigkeit: 0,01 % Folie 24 (WS 2002/03)

25 ADU mit schrittweiser Annäherung (Sukzessiv-Approximationswandler): Speicherung von U e mit Halteglied während der Umsetzungszeit der Eingangsgröße in die digitale Form 173: Wertigkeit Auswertung Diff. 1. Schritt: Q 7 = = < 173 Q 7 = 1 (45) 2. Schritt: Q 6 = = > 45 Q 6 = 0 3. Schritt: Q 5 = = < 45 Q 5 = 1 usw. Trotz der vielen Rechenschritte ist Verfahren schneller als Integrationsverfahren Typ. Umsetzungszeit dieser Wandler: ~ µs (Wandler m. Integrationsverfahren: ~ ms) Folie 25 (WS 2002/03)

26 Digital- Analog-Umsetzer (DAU) Umformung eines digitalen Wertes in die analoge Darstellungsform Analoge Ausgangsgröße der Schaltung (s. Bild 4.7-1): I a = I 0 + I 1 + I 2 + I 3 Q 0... = U ref R + 2 Q 1 R + 4 Q 2 R + 8 Q 3 R (für ausreichende Linearität wesentl. R i << R) Folie 26 (WS 2002/03)

27 DAU mit Kettenleiter und Umkehraddierer: Ströme in den Zweigen des Kettenleiters verhalten sich wie die Wertigkeit einer Dualzahl Bei idealem OP (u.a. U e 0 und I e 0): U a = -I ges R f = - (I 0 + I 1 + I 2 + I 3 ) R f Folie 27 (WS 2002/03)

28 DAU mit CMOS-Schalter: vom Prinzip w.o. Kettenleiter mit CMOS-Schaltern und zugehöriger Beschaltung als integrierte Schaltung erhältlich CMOS-Schalter (äußerst hochohmig! ) Folie 28 (WS 2002/03)

29 Folie 29 (WS 2002/03)

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

E l e k t r o n i k I

E l e k t r o n i k I Fachhochschule Südwestfalen Hochschule für Technik und Wirtschaft E l e k t r o n i k I Dr.-Ing. Arno Soennecken EEX European Energy Exchange AG Neumarkt 9-19 04109 Leipzig im WS 2002/03 Elektronik I Mob.:

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Halbleiterbauelemente

Halbleiterbauelemente Mathias Arbeiter 20. April 2006 Betreuer: Herr Bojarski Halbleiterbauelemente Statische und dynamische Eigenschaften von Dioden Untersuchung von Gleichrichterschaltungen Inhaltsverzeichnis 1 Schaltverhalten

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Elektronik- und Messtechniklabor, Messbrücken. A) Gleichstrom-Messbrücken. gespeist. Die Brücke heisst unbelastet, weil zwischen den Klemmen von U d

Elektronik- und Messtechniklabor, Messbrücken. A) Gleichstrom-Messbrücken. gespeist. Die Brücke heisst unbelastet, weil zwischen den Klemmen von U d A) Gleichstrom-Messbrücken 1/6 1 Anwendung und Eigenschaften Im Wesentlichen werden Gleichstrommessbrücken zur Messung von Widerständen eingesetzt. Damit können indirekt alle physikalischen Grössen erfasst

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode Dioden - Anwendungen vereinfachte Diodenkennlinie Für die meisten Anwendungen von Dioden ist die exakte Berechnung des Diodenstroms nach der Shockley-Gleichung nicht erforderlich. In diesen Fällen kann

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

ln halt E in leitu ng

ln halt E in leitu ng ln halt E in leitu ng 1 Kurze Einführung in die Grundlagen der digitalen Elektronik 1.1 Was versteht man unter analog und was unter digital? 7.2 Analoge Systeme 1.3 Digitale Systeme I.4 Binäres System

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

ρ = 0,055 Ωmm 2 /m (20 C)

ρ = 0,055 Ωmm 2 /m (20 C) 134.163 Grundlagen der Elektronik - Übungsbeispiele für den 11.05.2016 Beispiel C1: Berechnen Sie den Widerstand einer Glühlampe mit einem Wolframdraht von 0,024 mm Durchmesser und 30 cm Länge bei Raumtemperatur

Mehr

Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 4 10 am 14.03.1997

Aufg. P max 1 10 Klausur Elektrotechnik 2 14 3 8 4 10 am 14.03.1997 Name, Vorname: Matr.Nr.: Hinweise zur Klausur: Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 6141 4 10 am 14.03.1997 5 18 6 11 Σ 71 N P Die zur Verfügung stehende Zeit beträgt 1,5 h. Zugelassene Hilfsmittel

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

Elektrische Messtechnik, Labor

Elektrische Messtechnik, Labor Institut für Elektrische Messtechnik und Messsignalverarbeitung Elektrische Messtechnik, Labor Messverstärker Studienassistentin/Studienassistent Gruppe Datum Note Nachname, Vorname Matrikelnummer Email

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Kennlinienaufnahme elektronische Bauelemente

Kennlinienaufnahme elektronische Bauelemente Messtechnik-Praktikum 06.05.08 Kennlinienaufnahme elektronische Bauelemente Silvio Fuchs & Simon Stützer 1 Augabenstellung 1. a) Bauen Sie eine Schaltung zur Aufnahme einer Strom-Spannungs-Kennlinie eines

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Bei Aufgaben, die mit einem * gekennzeichnet sind, können Sie neu ansetzen.

Bei Aufgaben, die mit einem * gekennzeichnet sind, können Sie neu ansetzen. Name: Elektrotechnik Mechatronik Abschlussprüfung E/ME-BAC/DIPL Elektronische Bauelemente SS2012 Prüfungstermin: Prüfer: Hilfsmittel: 18.7.2012 (90 Minuten) Prof. Dr.-Ing. Großmann, Prof. Dr. Frey Taschenrechner

Mehr

Arbeitspunkt einer Diode

Arbeitspunkt einer Diode Arbeitspunkt einer Diode Liegt eine Diode mit einem Widerstand R in Reihe an einer Spannung U 0, so müssen sich die beiden diese Spannung teilen. Vom Widerstand wissen wir, dass er bei einer Spannung von

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

1. Theorie: Kondensator:

1. Theorie: Kondensator: 1. Theorie: Aufgabe des heutigen Versuchstages war es, die charakteristische Größe eines Kondensators (Kapazität C) und einer Spule (Induktivität L) zu bestimmen, indem man per Oszilloskop Spannung und

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Inhaltsverzeichnis. 01.12.01 [PRTM] Seite1

Inhaltsverzeichnis. 01.12.01 [PRTM] Seite1 Inhaltsverzeichnis Dioden...2 Allgemein...2 Kenngrößen...2 Anlaufstrom...2 Bahnwiderstand...2 Sperrschichtkapazität...2 Stromkapazität...3 Durchbruchspannung...3 Rückerholungszeit...3 Diodenarten...3 Backward-Diode...3

Mehr

1 Informationelle Systeme begriffliche Abgrenzung

1 Informationelle Systeme begriffliche Abgrenzung 1 Informationelle Systeme begriffliche Abgrenzung Im Titel dieses Buches wurde das Wort Softwaresystem an den Anfang gestellt. Dies ist kein Zufall, denn es soll einen Hinweis darauf geben, dass dieser

Mehr

C04 Operationsverstärker Rückkopplung C04

C04 Operationsverstärker Rückkopplung C04 Operationsverstärker ückkopplung 1. LITEATU Horowitz, Hill The Art of Electronics Cambridge University Press Tietze/Schenk Halbleiterschaltungstechnik Springer Dorn/Bader Physik, Oberstufe Schroedel 2.

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Bipolartransistoren Digitale Kippschaltungen FACHHOCHSCHULE LAUSITZ UNIVERSITY OF APPLIED SCIENCES. Fachbereich Elektrotechnik

Bipolartransistoren Digitale Kippschaltungen FACHHOCHSCHULE LAUSITZ UNIVERSITY OF APPLIED SCIENCES. Fachbereich Elektrotechnik FACHHOCHSCHULE LAUSITZ UNIVERSITY OF APPLIED SCIENCES Fachbereich Elektrotechnik Versuchsanleitung zum Laborpraktikum Elektronische Bauelemente und Grundschaltungen Versuch EB03 Bipolartransistoren Digitale

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

B 2. " Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!!

B 2.  Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!! Das folgende System besteht aus 4 Schraubenfedern. Die Federn A ; B funktionieren unabhängig von einander. Die Ausfallzeit T (in Monaten) der Federn sei eine weibullverteilte Zufallsvariable mit den folgenden

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip

2004, Thomas Barmetler Automatisierungstechnik - Einstieg. Das EVA-Prinzip Das EVA-Prinzip 1 Steuerungsarten Steuerungen lassen sich im Wesentlichen nach folgenden Merkmalen unterscheiden: Unterscheidung nach Art der Informationsdarstellung Diese Unterscheidung bezieht sich auf

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Grundlagen der Datenverarbeitung

Grundlagen der Datenverarbeitung Grundlagen der Datenverarbeitung Bauelemente Mag. Christian Gürtler 5. Oktober 2014 Mag. Christian Gürtler Grundlagen der Datenverarbeitung 5. Oktober 2014 1 / 34 Inhaltsverzeichnis I 1 Einleitung 2 Halbleiter

Mehr

PV-Anlagen vor Blitz und Überspannungen schützen

PV-Anlagen vor Blitz und Überspannungen schützen PV-Anlagen vor Blitz und Überspannungen schützen Photovoltaik-Anlagen sind besonders durch Blitzeinschläge und Überspannungen gefährdet, da sie häufig in exponierter Lage installiert werden. Damit sich

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Kon o d n e d ns n ator Klasse A Klasse A (Ergänzung) Norbert - DK6NF

Kon o d n e d ns n ator Klasse A Klasse A (Ergänzung) Norbert - DK6NF Kondensator Klasse (Ergänzung) Norbert - K6NF usgewählte Prüfungsfragen T202 Welchen zeitlichen Verlauf hat die Spannung an einem entladenen Kondensator, wenn dieser über einen Widerstand an eine Gleichspannungsquelle

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

4 Vorlesung: 21.11. 2005 Matrix und Determinante

4 Vorlesung: 21.11. 2005 Matrix und Determinante 4 Vorlesung: 2111 2005 Matrix und Determinante 41 Matrix und Determinante Zur Lösung von m Gleichungen mit n Unbekannten kann man alle Parameter der Gleichungen in einem rechteckigen Zahlenschema, einer

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

TO-220 TO-202 TO-92 TO-18. Transistoren mit verschiedenen Gehäusen

TO-220 TO-202 TO-92 TO-18. Transistoren mit verschiedenen Gehäusen Transistoren TO-220 TO-202 SOT-42 TO-3 TO-18 TO-92 TO-5 Transistoren mit verschiedenen Gehäusen Das Wort Transistor ist ein Kunstwort. Es leitet sich von transfer resistor ab und beschreibt damit einen

Mehr

Logik. A.3 Logik und Mengenlehre A.32 A.32. Logik und Mengenlehre. Logik. 2001 Prof. Dr. Rainer Manthey Informatik I 21

Logik. A.3 Logik und Mengenlehre A.32 A.32. Logik und Mengenlehre. Logik. 2001 Prof. Dr. Rainer Manthey Informatik I 21 Logik und Mengenlehre.3 Logik und Mengenlehre 2001 Prof. Dr. Rainer Manthey Informatik I 21 Logik Logik 2001 Prof. Dr. Rainer Manthey Informatik I 22 Logik: egriff, edeutung und Entwicklung Logik ist die

Mehr

Messtechnik-Praktikum. Spektrumanalyse. Silvio Fuchs & Simon Stützer. c) Berechnen Sie mit FFT (z.b. ORIGIN) das entsprechende Frequenzspektrum.

Messtechnik-Praktikum. Spektrumanalyse. Silvio Fuchs & Simon Stützer. c) Berechnen Sie mit FFT (z.b. ORIGIN) das entsprechende Frequenzspektrum. Messtechnik-Praktikum 10.06.08 Spektrumanalyse Silvio Fuchs & Simon Stützer 1 Augabenstellung 1. a) Bauen Sie die Schaltung für eine Einweggleichrichtung entsprechend Abbildung 1 auf. Benutzen Sie dazu

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

1.3.2 Resonanzkreise R L C. u C. u R. u L u. R 20 lg 1 , (1.81) die Grenzkreisfrequenz ist 1 RR C . (1.82)

1.3.2 Resonanzkreise R L C. u C. u R. u L u. R 20 lg 1 , (1.81) die Grenzkreisfrequenz ist 1 RR C . (1.82) 3 Schaltungen mit frequenzselektiven Eigenschaften 35 a lg (8) a die Grenzkreisfrequenz ist Grenz a a (8) 3 esonanzkreise 3 eihenresonanzkreis i u u u u Bild 4 eihenresonanzkreis Die Schaltung nach Bild

Mehr

Messung elektrischer Größen Bestimmung von ohmschen Widerständen

Messung elektrischer Größen Bestimmung von ohmschen Widerständen Messtechnik-Praktikum 22.04.08 Messung elektrischer Größen Bestimmung von ohmschen Widerständen Silvio Fuchs & Simon Stützer 1 Augabenstellung 1. Bestimmen Sie die Größen von zwei ohmschen Widerständen

Mehr

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung Oszilloskope Oszilloskope sind für den Elektroniker die wichtigsten und am vielseitigsten einsetzbaren Meßgeräte. Ihr besonderer Vorteil gegenüber anderen üblichen Meßgeräten liegt darin, daß der zeitliche

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Elektrische Spannung und Stromstärke

Elektrische Spannung und Stromstärke Elektrische Spannung und Stromstärke Elektrische Spannung 1 Elektrische Spannung U Die elektrische Spannung U gibt den Unterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei

Mehr

Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6. Antwort (ankreuzen) (nur eine Antwort richtig)

Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6. Antwort (ankreuzen) (nur eine Antwort richtig) Klausur 23.02.2010, Grundlagen der Elektrotechnik I (BSc. MB, SB, VT, EUT, BVT, LUM) Seite 1 von 6 1 2 3 4 5 6 Summe Matr.-Nr.: Nachname: 1 (5 Punkte) Drei identische Glühlampen sind wie im Schaltbild

Mehr

Wie funktioniert ein Relais?

Wie funktioniert ein Relais? 1 Wie funktioniert ein Relais? Ein Relais besteht im einfachsten Fall aus einer Spule, einem beweglichen Anker und einem Schaltkontakt (Bildquelle Wikipedia): Eine einfache Schaltung demonstriert die Funktion:

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik. Praktikum Elektrotechnik und Antriebstechnik

Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik. Praktikum Elektrotechnik und Antriebstechnik FH D FB 4 Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik Elektro- und elektrische Antriebstechnik Prof. Dr.-Ing. Jürgen Kiel Praktikum Elektrotechnik und Antriebstechnik Versuch

Mehr

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge Carl Hanser Verlag München 8 Schaltvorgänge Aufgabe 8.6 Wie lauten für R = 1 kω bei der Aufgabe 8.1 die Differenzialgleichungen und ihre Lösungen für die Spannungen u 1 und u 2 sowie für den Strom i? Aufgabe

Mehr

Aufbau eines Digitalzählers

Aufbau eines Digitalzählers INTITUT FÜ NGWNDT PHYIK Physikalisches Praktikum für tudierende der Ingenieurswissenschaften Universität Hamburg, Jungiusstraße ufbau eines Digitalzählers inleitung Jede beliebige Information kann zerlegt

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr