Achtung! Nur ausgewählte Folien

Größe: px
Ab Seite anzeigen:

Download "Achtung! Nur ausgewählte Folien"

Transkript

1 Achtung! Nur ausgewählte Folien Zu den Vorlesungsreihen: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Studiengang Medientechnologie, Studiengang Elektrotechnik und Informationstechnik und ASIC s & PLD-Design Studiengang Elektrotechnik und Informationstechnik (PO 2009) Seite 1 Dipl. Ing. Th. Rommel PLD Programmierbare Logikbausteine Dipl.-Ing. Thomas Rommel Fakultät Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Helmholtzbau Zimmer H 3521 Telefon: Praktikumsraum: H Seite 3 Dipl. Ing. Th. Rommel PLD 1

2 Programmierbare Logikbausteine bzw. ASIC & PLD-Design Studiengang PO V S P Prüfung LP EIT Bachelor spl 90min 4 (Studienschwerpunkt: Mikro-, Nano-Elektronik und Elektrotechnologie) EIT Master mpl 30min 5 (Vertiefung: IKT) II Master mpl 30min 3 II Master mpl 30min 5 MT Bachelor spl 90min Seite 4 Dipl. Ing. Th. Rommel PLD Programmierbare Logikbausteine Teil A Entwurf eines PLD an Hand konkreter Aufgaben Teil B Übersicht über verschiedene PLD- Architekturen Systematisierung Einsatzkriterien Wirtschaftlichkeit Seite 5 Dipl. Ing. Th. Rommel PLD 2

3 Praktikumsanleitungen und Vorlesungsscript Fakultät Elektrotechnik und Informationstechnik Institute und Fachgebiete Fachgebiet Elektronische Schaltungen und Systeme Lehre und Studium» Vorlesungsübersicht» Programmierbare Logikbausteine Seite 6 Dipl. Ing. Th. Rommel PLD (Teil A) Entwurf eines PLD an Hand von konkreten Aufgaben 1. Aufgabenstellung 2. Die Entwurfssoftware Quartus II von Altera 3. Einführung in die Hardwarebeschreibungssprache AHDL Seite 7 Dipl. Ing. Th. Rommel PLD 3

4 1. Aufgabenstellung Praktikum I (Licon) automatische Lichtsteuerung, abhängig, ob sich jemand im Raum aufhält oder nicht! Anzeige der Anzahl der im Raum befindlichen Leute über 7-Segment- Display (max. 9 Leute) zusätzliches Warnsignal, das anzeigt, dass sich mehr als 4 Leute im Raum befinden Seite 8 Dipl. Ing. Th. Rommel PLD Aufgabenstellung Praktikum II Implementation eines Videospieles (Moorhuhn) in abgerüsteter Version in einen Cyclone III - Baustein Freie Wahl der Entwursmethoden: Schematic, VHDL, AHDL, Verilog, State Machine Editor, LPM (Library of Parameterized Modules) Seite 9 Dipl. Ing. Th. Rommel PLD 4

5 2. Quartus II Entwurfssoftware von Altera Designflow Seite 11 Dipl. Ing. Th. Rommel PLD Quartus II Web Edition Download Center Quartus II Web Edition (9.0 Service Pack 2) Downloaden Get One-Time Access Licensing (Download & Licensing, linke Spalte) findet man genaue Information wie es lizenziert wird Seite 22 Dipl. Ing. Th. Rommel PLD 5

6 Altera Megafunctions (XILINX Core Generator) Standardisierte, parametrisierbare, getestete Blöcke Verkürzung der Entwurfszeit durch Einsatz von Megafunctions In allen Entwurfsmethoden verfügbar einschließlich in HDL Optimierte Designs für diesen Block Steigerung der Produktivität Reduzierung Time-to-market Quellen für Megafunctions: AMPP (Altera Megafunctions Partner Program) MegaCore Functions (Max-Plus II migration products) Reference Designs (IP) MegaWizard Plug-Ins Seite 40 Dipl. Ing. Th. Rommel PLD Megafunctions Design Flow Anforderung eines OpenCore bei Altera (Wenn überhaupt notwendig) Instantiierung der Funktion im Design Simulation des Designs Kein Risiko Nein Funktioniert die Lösung im Design? Ja Lizensierung der Funktion Konfigurieren des PLD (Nicht notwendig bei MegaWizard Plug-In) Seite 42 Dipl. Ing. Th. Rommel PLD 6

7 Megafunctions (Auszug) IP Core Name LPM_ADD_SUB IP Core LPM_COMPARE IP Core LPM_COUNTER IP Core LPM_MULT IP Core LPM_DIVIDE IP Core ALTECC ALTMULT_COMPLEX IP Core ALTPLL LPM_CONSTANT LPM_MUX ALTCLKCTR LPM_ROM LPM_FIFO Funktion Adder/Substractor Comperator Counter Multiplier Divider ECC Encoder/Decoder Complex Multipier PLL Constant Declaration Multiplexer Clock Control Block ROM Block Fifo Block ca. 300 Megafunctions + IP s Seite 43 Dipl. Ing. Th. Rommel PLD schnelle Realisierung durch MegaWizard Plug-In (LPM oder Megafunctions) Schematic: Seite 44 Dipl. Ing. Th. Rommel PLD 7

8 Programmierbare Logikbausteine Teil B Übersicht über verschiedene PLD-Architekturen Seite 49 Dipl. Ing. Th. Rommel PLD Übersicht Hardware oder Software? IC Übersicht CMOS-Technologie Entwurfsstile im Vergleich Programmierbare Logikbausteine (PLD) Systematisierungs- und Auswahlkriterien Programmiertechnologien Verbindungsarchitekturen Speicher in komplexen PLD Verschiedene Bausteinarchitekturen PLD geringer Dichte Complex Programmable Logic Devices Field Programmable Gate Array FPGA Embedded Processor Solutions (NIOS II) Analoge PLD Wichtige Adressen und Literaturhinweise Seite 50 Dipl. Ing. Th. Rommel PLD 8

9 Hardware oder Software? Computing in Space vs. Computing in Time Algorithmus Mikroprozessor X = A + B Y = C D Z = X * Y strukturierbare Hardware A B C D 2 Zyklen + - X Y * Z FPGA load A load B add store X load C load D sub store Y load X load Y mul store Z 12 Zyklen Räumliche Sequentialisierung Datenflussorientierung Parallelisierung (Addition und Subtraktion) Pipelining (Add/Sub und Mul) Zeitliche Sequentialisierung Kontrollflussorientierung Pipelining bei modernen Mikroprozessoren (DSP) auch möglich Seite 51 Dipl. Ing. Th. Rommel PLD Hardware oder Software? Computing in Space vs. Computing in Time p Hardware Hardware FPGA Software Skalierbarkeit Hardwareaufwand Echtzeitfähigkeit Verlustleistungsaufnahme Flexibilität Entwurfsprozess Kosten Seite 52 Dipl. Ing. Th. Rommel PLD 9

10 Zielfunktion beim Entwurf magische Dreieck beim Entwurf integrierter Digitalschaltungen synchrone Schaltung benötigt bestimmte Anzahl von Taktzyklen Verlustleistungsaufnahme Flächenaufwand zur Realisierung einer Schaltung wird bestimmte Fläche auf Silizium benötigt Geschwindigkeit Verlustleistungsaufnahme in herkömmlicher CMOS- Realisierung ist proportional zu Anzahl der Gatter und Schalthäufigkeit Seite 54 Dipl. Ing. Th. Rommel PLD Integrated Circuits (IC) Übersicht Integrated Circuits Standardbaustein ASIC Micro- Controller A/D- Wandler Semi- Custom Custom Speicher PLD Gate- Array Cell- Array Full- Custom FPGA CPLD simple PLD Seite 55 Dipl. Ing. Th. Rommel PLD 10

11 CMOS-Technologie (Complimentary Metal Oxide Semiconductor) häufigst verwendete Technologie in ASIC und PLD Vorteil: Gate ist isoliert gegenüber Substrat hoher Eingangswiderstand geringer Eingangsstrom geringer Stromverbrauch Seite 56 Dipl. Ing. Th. Rommel PLD CMOS-Technologie einfache Darstellung eines Feldeffekttransistors (N-Channel MOSFET) Seite 57 Dipl. Ing. Th. Rommel PLD 11

12 CMOS-Technologie (N-Channel MOSFET) Spannung Gate/Source 0V: Schalter offen (kein Strom) Quelle: Seite 58 Dipl. Ing. Th. Rommel PLD CMOS-Technologie (N-Channel MOSFET) Spannung Gate/Source > 0V: Schalter geschlossen (pos. Drain/Source Strom) Quelle: Seite 59 Dipl. Ing. Th. Rommel PLD 12

13 PLD Gate-Array Entwurfsstile Cell-Array Full Custom vollständig vorentworfen Master und Macros vorentworfen vorentworfene Zellen kein Vorentwurf vollständig vorgefertigt Master vorgefertigt keine Vorfertigung - durchgängiger Prozess mit allen Masken keine Vorfertigung - durchgängiger Prozess mit allen Masken Design beim Anwender Design beim Anwender Design beim Anwender Design beim Anwender und Hersteller Zuweisung von Funktionselementen auf Zielarchitektur Abbildung auf Bibliothek des Zielsystems Abbildung auf Bibliothek des Zielsystems Entwurf aller geometrischen Strukturen evtl. Place & Route Place & Route Place & Route Place & Route Implementation beim Anwender Implementation bei Anwender und Hersteller Implementation bei Anwender und Hersteller Implementation beim Hersteller Fertigstellung beim Anwender Fertigstellung beim Hersteller Fertigstellung beim Hersteller Fertigstellung beim Hersteller Seite 62 Dipl. Ing. Th. Rommel PLD Full Custom Layout aller geometrischen Strukturen optimierte Entwürfe (Geschwindigkeit, Fläche, Verlustleistung usw.) sehr lange Entwurfsdauer (Effizienz) Ausnutzung von Regularitäten viel Erfahrung notwendig Teamarbeit nötig, (Interfacebeschreibung problematisch) Quelle: A.Mäder Seite 63 Dipl. Ing. Th. Rommel PLD 13

14 Full-Custom Quelle: A.Mäder Seite 64 Dipl. Ing. Th. Rommel PLD Cell-Array (Standardzelle) vorgefertigte Zellen aus Bibliothek Layout der Standardzellen in Full-Custom Qualität flexibler Entwurf meist in Verbindung mit Makrozellgeneratoren Quelle: A.Mäder Seite 65 Dipl. Ing. Th. Rommel PLD 14

15 Cell-Array (Standardzelle) Aufbau eines NAND-Gatters (CMOS-Technologie) und. Quelle: A.Mäder Seite 66 Dipl. Ing. Th. Rommel PLD Gate-Array (Sea of Gate) vorgefertigte Transistoren Layout durch Verbindungsstruktur (Verdrahtung, Kontakte) Intra-Zell Verdrahtung aus Zellbibliotheken vorgegebener Master: Komplexität eingeschränkt, keine optimale Auslastung schnelle Verfügbarkeit Quelle: A.Mäder Seite 68 Dipl. Ing. Th. Rommel PLD 15

16 Entwurfsstile Standardbaustein Full- Custom Semi- Custom PLD Preis pro Gatter gering gering mittel hoch Performance mittel hoch mittel gering Prozesschritte voll voll Silizium Ausnutzung sehr gut sehr gut gut gering time-to-market sofort Monate Wochen sofort NRE-Kosten - sehr hoch hoch gering Entwurfsänderungen - sehr aufwendig aufwendig einfach Lieferanten viele einer zumeist einer viele Seite 71 Dipl. Ing. Th. Rommel PLD Entwurfsstile Seite 72 Dipl. Ing. Th. Rommel PLD 16

17 Programmierbare Logikbausteine (PLD) Allgemeines niedrige bis hohe Komplexität ( Mio. Gatter) Vielfalt enhaltener Baugruppen SRAM Blöcke (64 Mb), Bereitstellung aufwendiger Taktaufbereitung (PLL, Clock-Network) spezielle Blöcke für arithmetische Funktionen zur digitalen Signalverarbeitung, Ankopplung weiterer leistungsfähiger Systemelemente an die I/O-Schnittstellen des PLD (gängigen Protokolle für Speicheranbindung, Kommunikationsprotokolle bis in GHz-Bereich) sehr kurze Entwicklungs- und Fertigungszeiten (Stunden bis Wochen) Änderungen sehr leicht möglich Keine NRE-Kosten - wirtschaftliche Stückzahl: 1000 pro Jahr (!) Schnellere Datenverarbeitung als bei Mikrocontrollern (aber auch teurer) bausteinseitig vollständig getestet (bei RAM basierten Bausteinen) Seite 73 Dipl. Ing. Th. Rommel PLD PLD Seite 75 Dipl. Ing. Th. Rommel PLD 17

18 Geschäftsbereiche Xilinx Altera Lattice Actel Seite 77 Dipl. Ing. Th. Rommel PLD Low-Cost - Produkte z.b. Altera: Cyclone XILINX: Spartan Günstige Kostenstruktur durch: Zellstruktur höherer Dichte Produktportfolio auf wenige Typen beschränkt -> hohe Stückzahlen Nur günstige Gehäusetypen Spezielle I/O-Architekturen um Chipfläche zu sparen Kurze Verfügbarkeitszeiten Kleinstmögliche Technologie (65 nm), (28 nm) (1 Mio. Gatter für unter 6 $) Seite 78 Dipl. Ing. Th. Rommel PLD 18

19 Technologieentwicklung in 80er Jahren mussten PLD mit den Produktionstechnologien der letzten oder vorletzten Generation auskommen heute jeweils neueste Technologie (kleinste Strukturen (24nm), modernste Prozesstechnologie) oft sogar zum Einfahren neuer Produktionslinien eingesetzt, wegen sehr regelmäßigen Strukturen und hohen Stückzahlen (ähnlich wie bei RAM) Seite 79 Dipl. Ing. Th. Rommel PLD Modernste Prozesstechnik Ein Technologieschritt: 30-50% weniger Power 55% weniger Platz 10-50% Geschwindigkeitserhöhung 50% Kosteneinsparungen Verdoppelungen der Performance 28nm Aber auch: Höhere Wärmeproblematik Höhere Leckstromproblematik Geringer Signal-Rausch-Abstand usw Seite 81 Dipl. Ing. Th. Rommel PLD 19

20 Inhalte von FPGA Seite 84 Dipl. Ing. Th. Rommel PLD Entwurfsablauf (z.b. FPGA) HDL State Machine Macro generator IP Schematic Design Entry Constraits Synthesizing and Optimizing Place & Routment Generating Bitstream Download to Device Functional Simulation Timing (Pre-Layout) Simulation Timing (Post-Layout) Simulation Seite 88 Dipl. Ing. Th. Rommel PLD 20

21 Synthese Unter der Synthese beim Entwurf digitaler Systeme versteht man die Erzeugung einer hardwarenäheren Beschreibung aus einer abstrakten Beschreibung Synthese kann auf verschiedenen Ebenen erfolgen: Die algorithmische Synthese übersetzt eine verhaltensbasierte Beschreibung in die RTL-Ebene Die Logiksynthese überführt ein RTL-Modell in eine gatterbasierte Beschreibung Die Layoutsynthese überführt eine Gatternetzliste in ein Layout für die Zielarchitektur Seite 89 Dipl. Ing. Th. Rommel PLD Synthese (Entwurfsschritte) Funktionelle Simulation Technologieabbildung Wahl einer Zielarchitektur (FPGA, CPLD) RTL-Beschreibung unter Einbeziehung von Bibliothekselementen Beschr. auf Logikebene unter Verw. der Grundbausteine Zielarchitektur Ausgabe einer Netzliste Place & Route Konkreter Zielbaustein muss vorliegen Auswahl und Platzierung der Primitivzellen im Zielbaustein Realisierung der Verdrahtung (intern und zu den E/A-Pins) Extraktion von realen Zeitparametern aus Geometrie Zeitliche Simulation des Entwurfs nach der Synthese Hardware-Test Seite 90 Dipl. Ing. Th. Rommel PLD 21

22 Steuerung der Synthese Optimierung bzgl. Geschwindigkeit zweistufige Logik, möglichst viele parallele Berechnungen, um kurze Laufzeiten zu erreichen Optimierung bzgl. Fläche mehrstufige Logik mit möglichst vielen gemeinsamen Subtermen Optimierung bzgl. Verlustleistung (optional) Weitere Randbedingungen der Synthese werden über bestimmte Eingabeparameter gesteuert, die als Constraints bezeichnet werden Seite 91 Dipl. Ing. Th. Rommel PLD Place & Route Layoutsynthese Abbildung der Schaltung auf den konkreten Zielbaustein (d.h. es muss festgelegt werden, welche CLBs eines vorgegebenen Chips nun zur Realisierung benutzt werden und wie die Verdrahtung zu realisieren ist) Es erfolgt zunächst eine Platzierung: beginnend z.b. in der linken oberen Ecke werden den Elementen aus der Netzliste die nächsten freien CLBs zugeordnet und eng gekoppelte Elemente sollten auch auf benachbarte CLBs platziert werden evtl. angegebene Constraints bzgl. Geometrie werden berücksichtigt Anschließend Routing: die benötigten Verbindungen aus der Netzliste werden auf die nächsten freie Kanäle abgebildet (Verbindungen sollen hierbei möglichst kurz sein und mit möglichst wenigen Segmenten auskommen) Seite 92 Dipl. Ing. Th. Rommel PLD 22

23 Sicherung hoher Entwurfsqualität Zehner-Regel: Kosten der Fehlerbeseitigung relative Kosten Spezifikation Designeingabe Synthese Place & Routment Device Platine System Field Seite 94 Dipl. Ing. Th. Rommel PLD Systematisierungs- und Auswahlkriterien Anzahl der Funktionselemente (CLB, LE, PFU usw.) Größe der Funktionselemente Art und Anzahl der Verbindungsleitungen Realisierung der Kombinatorik (Aufbau der Logikblöcke) Programmiertechnologie Granularität (Körnung) Seite 95 Dipl. Ing. Th. Rommel PLD 23

24 Granularität (Beispiel) Feine Granularität Grobe Granularität Programmable Function Unit (PFU) der OR2C-Familie (Lucent Technologies) Seite 96 Dipl. Ing. Th. Rommel PLD Systematisierung der PLD Globale Architektur ein klassisches FE Aufbau Funktionselement Kombinatorik +FF simple PLD Wenige FE Breite Kombinatorik +FF CPLD viele FE Schmale Kombinatorik +FF FPGA Verbindung zwischen den FE keine Vorwiegend global Vorwiegend lokal Realisierung der Kombinatorik PAL, PLA (UND/ODER) PAL, PLA, MUX LUT, MUX Realisierung der Kombinatorik Fused Link EPROM EEPROM, Flash SRAM, Flash Antifuse Seite 98 Dipl. Ing. Th. Rommel PLD 24

25 Schwierigkeiten beim Entwurf von PLD steigende Integrationsdichte kürzere Lebensdauer der Produkte alle FPGA-Strukturen sind unterschiedlich Schaltungskomplexität muss beherrscht werden kurze Entwicklungszeiten neue Einarbeitungszeit Entwurf möglichst unabhängig von Architektur Seite 100 Dipl. Ing. Th. Rommel PLD Programmiertechnologie Programmtechnologien Reversibel Irreversibel Speicherzelle Antifuse Fused Link flüchtig SRAM nicht flüchtig EPROM/ Flash PLICE Via Link Micro Fuse Seite 101 Dipl. Ing. Th. Rommel PLD 25

26 Programmiertechnologie - Fused Link Word Line Pullup Resistor Programmed Connection Transistor Data Bit Line Schaltbild Durchbrennphasen in der UND/ODER-Matrizen Seite 103 Dipl. Ing. Th. Rommel PLD Programmiertechnologie - Antifuse durch Anlegen einer Programmierspannung wird die isolierende Schicht zwischen den beiden Anschlüssen durchgeschmolzen es entsteht eine niederohmige, dauerhafte Verbindung Anwendung: vorwiegend in FPGA Seite 105 Dipl. Ing. Th. Rommel PLD 26

27 PLICE Antifuse Dielectric Antifuses: (Actel) das trennende Dielektrikum (sehr dünn) wird aufgeschmolzen 3 zusätzliche Herstellungsschritte (im Vergleich zum CMOS-Prozeß) notwendig Programmierzeit mehrere Minuten (A1012 z.b. 18 Minuten) Seite 106 Dipl. Ing. Th. Rommel PLD Via Link Antifuse Amorphe Silizium Antifuse (QuickLogic) Verbindung Metall amorphes Silizium Metall SiO 2 sehr geringer Platzbedarf niedriger elektrischer Widerstand kleine Kapazitäten Seite 107 Dipl. Ing. Th. Rommel PLD 27

28 Programmiertechnologie - SRAM Zwei kreuzweise gekoppelte Inverter A und B als Speicherelement 5 Transistor- SRAM-Zelle von XILINX Seite 111 Dipl. Ing. Th. Rommel PLD SRAM-Zellen (Programmierelemente mit Speicherzelle) S S = Speicherzelle S S S S S S S S S Durchgangsgatter Pass Transistor Multiplexer Lookup Table (LUT) Seite 112 Dipl. Ing. Th. Rommel PLD 28

29 Realisierung von Kombinatorik bei SRAM (LUT Lookup Table) LUT als 4x1 RAM 00 0 X 0 1-aus Y = X Decoder 0 xor X X Wired-Or LUT mit 2 Eingängen Seite 113 Dipl. Ing. Th. Rommel PLD SRAM-Programmiertechnologie Vorteile kein Programmiergerät erforderlich jede beliebige Funktion aus Eingangssignalen realisierbar Rekonfigurierung, ISP schnelle Entwurfsänderungen möglich Nachteile schlechter bzw. umständlicher Kopierschutz Konfigurationsspeicher erforderlich sorgfältige Planung nach Neustarts notwendig empfindlich gegen radioaktive Strahlung Technologie preiswert Seite 114 Dipl. Ing. Th. Rommel PLD 29

30 Programmiertechnologie EPROM (Aufbau einer EPROM-Zelle) Seite 116 Dipl. Ing. Th. Rommel PLD Programmiertechnologie EPROM (Beschreiben einer EPROM-Zelle) Seite 117 Dipl. Ing. Th. Rommel PLD 30

31 Programmiertechnologie EPROM (Lesen einer EPROM-Zelle) Seite 118 Dipl. Ing. Th. Rommel PLD Flash- EEPROM FLASH-Zellen können nicht wortweise gelöscht werden, sondern nur in größeren Blöcken NOR-Architektur: alle Speicherzellen sind parallel an die Bitleitung angeschlossen NAND-Architektur: typischerweise 8 oder 16 usw. Speicherzellen in Serie geschaltet notwendige Kontakte zu den Bitleitungen entfallen Zelle ca. 40% kleiner höhere Speicherdichte als bei NOR geringere Kosten, ABER Zeit für Auslesen durch die Serientransistoren deutlich größer als bei NOR Seite 119 Dipl. Ing. Th. Rommel PLD 31

32 EPROM - Zellen UV-EPROM EEPROM Flash-EEPROM Löschen UV-Licht elektrisch elektrisch Relative Zellgröße 1 4,2 (zusätzlich Transistoren) 1 extrem dünne Silizium-Schicht unter Floating-Gate < 1 ms < 1 ms 200 µs Löschzeit 20 min 1 ms < 1 ms Seite 122 Dipl. Ing. Th. Rommel PLD Vergleich der Technologien SRAM Programmierzeit FLASH- EPROM Plice ViaLink Konfigurierung flüchtig? ja nein nein nein rekonfigurierbar? ja ja nein nein in System programmierbar? Zellengröße ja groß theoretisch ja mittel; als Array klein nein (sehr)klein nein sehr klein Schalttransitoren - - groß groß On-Widerstand 0,6.1 kω 0,6.1 kω 200Ω 20.50Ω Off-Kapazität ff ff 5 ff 1 ff zusätzliche Prozessschritte 0 3 bis Seite 123 Dipl. Ing. Th. Rommel PLD 32

33 Logik-Designs vor Reverse-Engeneering schützen Level I Bausteine gelten als unsicher (SRAM, EPROM) Level II Moderat sicher, da nur von sehr gut ausgebildeten Personen mit teurer Laborausrüstung durchgeführt werden kann. (Antifuse) Level III In hohem Maße sicher, Reverse Engeneering kaum möglich (Bei PLD kaum realisierbar) Seite 124 Dipl. Ing. Th. Rommel PLD Verschlüsselung des Datenstroms bei SRAM-basierenden Bausteinen Kleiner nicht flüchtiger Speicher Seite 125 Dipl. Ing. Th. Rommel PLD 33

34 Bewertung der Vor- und Nachteile Schutz der Innovation Unterschied zwischen Kopierschutz und Schutz vor genauen Analyse - Anifuse sicherer als SRAM - Programmieren des Security-Fuse Rekonfigurierbarkeit, ISP konfigurierbar parallel rekonfigurierbar dynamisch rekonfigurierbar rekonfigurierbar Kosten und Schaltungsaufwand Testbarkeit und Zuverlässigkeit Anzahl der Programmierelemente Seite 126 Dipl. Ing. Th. Rommel PLD Verbindungsarchitekturen Anforderungen an die Verbindungsarchitekturen programmierbarer Logikbauelemente: Typen von Verbindungsleitungen: Lokale Verbindungsleitungen Globale Verbindungsleitungen Spezielle Verbindungsleitungen für die Verteilung von Taktsignalen und anderen zeitkritischen Signalen Leitungen die zum Preset oder Reset der Schaltungen genutzt werden Leitungen für Spannungsversorgung der Logikzellen Seite 127 Dipl. Ing. Th. Rommel PLD 34

35 Taktsysteme im FPGA Cyclone III Seite 128 Dipl. Ing. Th. Rommel PLD Taktsysteme im FPGA (Clock Control Block) Cyclone III Seite 129 Dipl. Ing. Th. Rommel PLD 35

36 Taktsysteme im FPGA (PLL) Cyclone III Seite 130 Dipl. Ing. Th. Rommel PLD Speicher in komplexen PLD (FPGA) Kriterien: Größe Wortbreite Zugriffszeit zusätzliche Funktionalitäten Seite 131 Dipl. Ing. Th. Rommel PLD 36

37 Energiesparfunktionen Programmable Power Technologie Nur Logikblöcke im kritischen Pfad arbeiten im High-Speed-Mode alle anderen Logikblöcke werden im Low- Power-Mode betrieben Quartus II Software erkennt selbständig kritische Pfade und programmiert entsprechend Wählbare Core-Spannung Core-Spannung kann von 1,1V auf 0,9V reduziert werden, wenn Design nicht die volle Leistung des FPGA benötigt Quartus II Power Play Optimierungsfunktion in der Quartus II Software, die das Design möglichst energiesparend realisiert in dem z.b. Synthese, Platzierung und Routing entsprechend optimiert werden Seite 132 Dipl. Ing. Th. Rommel PLD Verschiedene Bausteinarchitekturen: PLD geringer Dichte programmierbare Rückkopplung Eingang Eingabe Block Programmierbare UND / ODER - Matrix Ausgabe Block Ausgang Seite 133 Dipl. Ing. Th. Rommel PLD 37

38 Chiparchitekturen bei PLD geringer Dichte Realisierung der Kombinatorik durch zweistufige Logik: UND- und ODER-Felder UND-Matrix ODER-Matrix Normalform RAM, ROM fest programmierbar KDNF PAL programmierbar fest DNF PLA programmierbar programmierbar DNF Seite 134 Dipl. Ing. Th. Rommel PLD Grundstruktur von PLA-Bausteinen Seite 135 Dipl. Ing. Th. Rommel PLD 38

39 PLA-Programmierung unprogrammiert programmiert Seite 136 Dipl. Ing. Th. Rommel PLD PROM PAL programmierbarem ODER-Feld programmierbarem UND-Feld Seite 137 Dipl. Ing. Th. Rommel PLD 39

40 PLA programmierbarem UND- und ODER-Feld Seite 138 Dipl. Ing. Th. Rommel PLD Beispiel für PLA-Realisierung y + b c Seite 139 Dipl. Ing. Th. Rommel PLD 40

41 Implementierbarkeit bei PLD geringer Dichte Anzahl der Register Konfigurationsmöglichkeiten für Register (CLK, S, R usw.) Anzahl Ausgänge Anzahl Eingänge Anzahl Produktterme Hauptaugenmerk bei Untersuchung der Kombinatorik ROM: PAL: PLA: Anzahl der Eingänge Anzahl Produktterme pro Eingang Anzahl Produktterme gesamt Seite 144 Dipl. Ing. Th. Rommel PLD Verschiedene Bausteinarchitekturen: CPLD vs. FPGA PLD PLD Schaltmatrix PLD PLD CPLD FPGA Seite 145 Dipl. Ing. Th. Rommel PLD 41

42 Verschiedene Bausteinarchitekturen: CPLD (Complex Programmable Logic Device) PLD (LAB) PLD Schaltmatrix PLD PLD Simple PLD CPLD Seite 146 Dipl. Ing. Th. Rommel PLD Gemeinsamkeiten zwischen CPLD und FPGA Aufbau aus mehreren Blöcken, die untereinander geeignet verbunden werden können, Programmierbare Blöcke mit programmierbaren Verbindungsstrukturen flexible Blockstruktur im Vergleich zum PLD niedriger Dichte bessere Logikzuordnung flexiblere Makrozellen bessere Entkopplung interner Ressourcen weitgehende Entkopplung von Logik und E/A-Blöcken (mit Einschränkungen) E/A-Blöcke als Eingang, Ausgang oder bidirektional konfigurierbar; universelle Steuerungsmöglichkeiten für vorhandene Tristate-Buffer Platzierung notwendig Technologie: CMOS Programmiertechnologien: SRAM, EPROM, Antifuse Seite 147 Dipl. Ing. Th. Rommel PLD 42

43 Unterschiede zwischen CPLD und FPGA Eigenschaften CPLD FPGA Aufbau der Logikzellen wenige große Blöcke mit integrierter Logik- und E/A- Makrozellen PAL-Logik (AND/OR-Matrix) Eingänge 8-32 Makrozellen pro/bl Ausgänge alle Makrozellen benutzen die gleichen Eingänge große Anzahl relativ kleiner Funktionselemente (feinkörnig) Logik: MUX oder LUT (RAM) 4-13 Eingänge 2-4 Makrozellen 2-4 Ausgänge Verbindungen zentrale globale Verbindungen keine Verdrahtung notwendig dezentrale lokale Verbindungen Verdrahtung notwendig Seite 148 Dipl. Ing. Th. Rommel PLD Unterschiede zwischen CPLD und FPGA Eigen-schaften CPLD FPGA E/A Signallaufzeiten relativ feste Konfiguration der Verbindungsleitungen zwischen Makrozellen und Pins schneller Signalweg von Logikmakrozellen zu Pins keine separaten Ausgangsregister homogen konstant relativ kurz vorhersagbar Geschwindigkeit nicht abhängig von Schaltung Ring aus frei zuordenbaren E/A- Blöcken jede Logikmakrozelle kann mit jedem Pin verbunden werden separate Ausgangsregister vor den Pins stark vom konkreten Signalweg abhängig ungleichmäßig auch hohe Werte möglich erst durch Layoutextraktion zu bestimmen Geschwindigkeit abhängig von der Schaltung Komplexität mittel hoch Seite 149 Dipl. Ing. Th. Rommel PLD 43

44 Unterschiede zwischen CPLD und FPGA Eigenschaften CPLD FPGA Flexibilität mittel hoch Flächenausnutzung 40% - 60% 50% - 95% Stromverbrauch hoch bis sehr hoch gering bis mittel Einsatzgebiete bei breiten Eingangsfunktionen einfache Realisierung schneller komplexer State Machines schmale Eingangslogik registerintensive Schaltungen Vor-/Nachteil Preis pro Gatter mittel bis hoch Preis pro Gatter gering bis hoch anspruchsvolle Software erforderlich angemessene Verdrahtungsressourcen erforderlich einfacher Umstieg auf Bausteine öherer Komplexität bei Beibehaltung der Leistungsdaten Seite 150 Dipl. Ing. Th. Rommel PLD Unterschiede zwischen CPLD und FPGA Eigenschaften CPLD FPGA Anzahl Makrozellen/LE Anzahl I/O Pins Komplexität Gatter FF Gatter Mio FF Total RAM Blocks 0-8Kbits 0-68 MBits Taktfrequenzen bis 600 MHz 500 MHz Programmiertechnologie EEPROM / FLASH SRAM/Antifuse Seite 151 Dipl. Ing. Th. Rommel PLD 44

45 Die umsatzstärksten Hersteller von PLD Hersteller PLD CPLD FPGA Actel (Microsemi) IGLOO ProASIC- Familie SXA Axcelarator Altera Seite 152 Dipl. Ing. Th. Rommel PLD Die umsatzstärksten Hersteller von PLD Lattice (Vantis von AMD) Xilinx Virtex 5,6,7 Kintex-7 Artix7 Spartan-Famile EasyPath 6 XC5200 XC Seite 153 Dipl. Ing. Th. Rommel PLD 45

46 Altera CPLD MAX Blockschaltbild Seite 155 Dipl. Ing. Th. Rommel PLD Altera CPLD MAX7000 Device Macrocell Seite 156 Dipl. Ing. Th. Rommel PLD 46

47 Verschiedene Bausteinarchitekturen: FPGA Field Programmable Gate Array Das FPGA-Modell nach Jonathan Rose Seite 163 Dipl. Ing. Th. Rommel PLD Parameter: Verschiedene Bausteinarchitekturen: FPGA Model nach Jonethan Rose 1. w Anzahl der Verbindungstracks 2. A f Größe des Funktionalblocks (Logicblock) A f ~ 2 k + A S 3. F S Flexibilität der Switchbox Anzahl der erreichbaren Leitungen /Switchbox (Maximalwert = 3 w) 4. F c Flexibiltät der Connection Box Anzahl der Tracks (w), die von einem Blockpin aus erreichbar sind (programmierbar z.b. durch Transistor) 5. A p Größe eines Programmierpunktes (Fläche des Transistors oder ähnliches) Seite 164 Dipl. Ing. Th. Rommel PLD 47

48 VERSCHIEDENE BAUSTEINARCHITEKTUREN: FPGA PROGRAMMIERBARE SCHALTMATRIX 165 Dipl.-Ing. Th. Rommel PLD Cyclon III Familie (Altera) 183 Dipl.-Ing. Th. Rommel PLD 48

49 Cyclon III Familie (Altera) 184 Dipl.-Ing. Th. Rommel PLD Cyclon III Familie (Altera) 185 Dipl.-Ing. Th. Rommel PLD 49

50 Xilinx Product Portfolio Quelle: Xilinx.co 187 Dipl.-Ing. Th. Rommel PLD Xilinx Product Portfolio Quelle: Xilinx.co 188 Dipl.-Ing. Th. Rommel PLD 50

51 189 Dipl.-Ing. Th. Rommel PLD PLL-Block Diagramm N pre-divider counter PFD phase-frequency detector M feedback multiplier counter K and V post-divider counters Seite 198 Dipl. Ing. Th. Rommel PLD 51

52 Virtex Seite 207 Dipl. Ing. Th. Rommel PLD Virtex Seite 208 Dipl. Ing. Th. Rommel PLD 52

53 Virtex 7 Row and Column Relationship between CLB and Slices Seite 209 Dipl. Ing. Th. Rommel PLD Virtex Seite 210 Dipl. Ing. Th. Rommel PLD 53

54 Xilinx Analog Mixed Signal 12- bit, 17-channel, 1Msps Analog to Digital Converters with internal temperature and supply voltage sensors Quelle: Xilinx.com Seite 211 Dipl. Ing. Th. Rommel PLD Spartan-6 Family Seite 212 Dipl. Ing. Th. Rommel PLD 54

55 Spartan - Packages Chip Scale Rackage Thin Quad Flat Pack Seite 213 Dipl. Ing. Th. Rommel PLD Intellectual Proberty (IP) Simulation des Coreverhaltens im System Überprüfen der Designfunktionalität und bestimmen der Größe und Geschwindigkeit Generieren eines zeitlich begrenzten Programmierfiles für das Design Programmieren des Bausteines und überprüfen des Designs in Hardware Seite 214 Dipl. Ing. Th. Rommel PLD 55

56 Boards & Kits Preis: 39,- $ CoolRunner-II CPLD evaluation board with an XC2C256-TQ144 device USB 2.0 cable for power, programming, and data transfer 9V battery connector for optional battery power QuickStart guide ISE WebPACK software Resource CD includes documentation and free reference designs Seite 215 Dipl. Ing. Th. Rommel PLD Boards & Kits Spartan-3AN Starter Kit Development board Power supply V, 50/60 Hz with universal plug adaptors Evaluation software: Xilinx ISE WebPACK or ISE Foundation Evaluation Quickstart guide Programming cable Product collateral Preis: 199,- $ Seite 216 Dipl. Ing. Th. Rommel PLD 56

57 Boards & Kits Virtex-5 LX FPGA ML501 Evaluation Platform ML501 Platform DVI adapter CompactFlash Card Development tools and PC download cable are not included and must be ordered separately Preis: 999,- $ Seite 217 Dipl. Ing. Th. Rommel PLD Entwurfswerkzeuge Universelle FPGA-Enturfswerkzeuge - Galileo - Leonardi - MicroSim FPGA Große EDA-Systeme - Cadance (FPGA-Designer) - Mentor Graphics (FPGA-Station + AutoLogicII) - Synopsys (FPGA-Express) Herstellereigene Werkzeuge - Designer Series von Actel - Quartus II von Altera - Vivado Design Suite / ISE Design Suite von XILINX Seite 220 Dipl. Ing. Th. Rommel PLD 57

58 Embedded Processor Solutions Faster Time-to-Market Programmable Flexibility Low Risk Cost-Effective Access to Embedded Processor Cores Higher Integration Seite 221 Dipl. Ing. Th. Rommel PLD Embedded Processor Solutions - Hardcore ZYNQ-7000 EPP Seite 222 Dipl. Ing. Th. Rommel PLD 58

59 NIOS Embedded Processor Family Features: Konfigurierbare RISC Architektur (32 Bit) On-Chip Peripherie - UART - Timer - PIO - SRAM - FLASH - SPI, PWM, IDE disk, controller, Ethernet Controller, Altera MegaWizard interface konfiguriert den Prozessorkern - generiert peripheral bus module (PBM) - weist IRQ und Prioritäten zu - Dynamische Busanpassung - konfiguriert periphere Wait states Seite 225 Dipl. Ing. Th. Rommel PLD NIOS II Design Flow Seite 226 Dipl. Ing. Th. Rommel PLD 59

60 Analoge PLD Features: mehrere analoge Funktionen realisierbar (OV, Filter usw.) In-System-Programmable analog Circuit keine externen Komponenten notwendig über JTAG Schnittstelle zu konfigurieren Seite 232 Dipl. Ing. Th. Rommel PLD isppac Seite 233 Dipl. Ing. Th. Rommel PLD 60

61 Analoge PLD s FPAA (Field Programmable Analog Array) An10E40 der Fa. Anadigm Inc Seite 234 Dipl. Ing. Th. Rommel PLD Analoge PLD s CAB des FPAA (Field Programmable Analog Array) An10E Seite 235 Dipl. Ing. Th. Rommel PLD 61

62 Switched-Capacitor-Technik Seite 236 Dipl. Ing. Th. Rommel PLD Literaturhinweise Markus Wannemacher: Das FPGA-Kochbuch Bonn, International Thomson Publishing GmbH ISBN Axel Sikora: Programmierbare Logikbauelemente, Architekturen und Anwendungen München, Wien, Carl Hander Verlag ISBN Pong P. Chu: FPGA Prototyping by VHDL Examples Hoboken, New Jersey, Wiley Interscience ISBN Seite 239 Dipl. Ing. Th. Rommel PLD 62

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Achtung! Nur ausgewählte Folien

Achtung! Nur ausgewählte Folien Achtung! Nur ausgewählte Folien Zu der Vorlesungsreihe: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Elektrotechnik und Informationstechnik 10.04.2017 Seite 1 Dipl. Ing. Th. Rommel

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008

AVT Spartan-3E Development Kit AVT DK S3E-500 (V1.0) 21.05.2008 AVT Spartan-3E Development Kit Kurzbeschreibung AVT DK S3E-500 (V1.0) 21.05.2008 Inhaltsverzeichnis Kapitel 1: Kapitel 2: Einführung Komponenten und Eigenschaften AVT Spartan-3E Development Kit Benutzerhandbuch

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Achtung! Nur ausgewählte Folien

Achtung! Nur ausgewählte Folien Achtung! Nur ausgewählte Folien Zu der Vorlesungsreihe: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Elektrotechnik und Informationstechnik 19.03.2018 Seite 1 Dipl. Ing. Th. Rommel

Mehr

Funktionstest Ti2CA Compact

Funktionstest Ti2CA Compact Funktionstest Ti2CA Compact Systemarchitektur Die einfache Ansteuerung, hohe Ausbaustufen, bei geringem Kostenbedarf sowie die hohe Störsicherheit durch kurze Leitungslängen sind wesentliche Vorteile der

Mehr

Eingebettete Systeme Modellierung und Zielarchitekturen

Eingebettete Systeme Modellierung und Zielarchitekturen Eingebettete Systeme Modellierung und Zielarchitekturen Vorlesungsbegleitende Unterlagen WS 2003/2004 Klaus Waldschmidt Teil 9 Field Programmable Gate Arrays - FPGA Literatur: 1. M. Wannemacher, Das FPGA-Kochbuch,

Mehr

Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und

Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und ausgewählte Folien zu den Vorlesungsreihen: Programmierbare Logikbausteine (Studiengang Ingenieurinformatiker, Studiengang Medientechnologen) und ASIC s & Design (Studiengang Elektrotechnik und Informationstechnik

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala

ASIC. Application-Specific Integrated Circuit. Technische Informatik K. Slotala ASIC Application-Specific Integrated Circuit Technische Informatik K. Slotala Was ist ASIC? Anwendungsspezifische Schaltung, die fest im Schaltkreis integriert ist An die Anforderungen der Anwender angepasst

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Anleitung TempCW 2011

Anleitung TempCW 2011 TempCW - Wirberg 0 30.09.0 Anleitung TempCW 0 vorläufig Inhaltsverzeichnis Aufbauanleitung... Platine... Programmierstecker... Bestückung...3 Inbetriebnahme... 5 Spannungsversorgung... 5 LEDs... 5 NF Teil...

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

7. Unipolare Transistoren, MOSFETs

7. Unipolare Transistoren, MOSFETs 7.1. Funktionsweise Die Bezeichnung MOSFET (Metal Oxide Semiconductor Field Effect Transistor) deutet auf den Aufbau dieses Transistors hin: Das Halbleiterelement ist mit einer sehr dünnen, isolierenden

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA?

FPGA. Übersicht der Aktuellen Bausteine. Inhaltsverzeichnis. 1. Einführung 1.1 Was ist ein FGPA? FPGA Übersicht der Aktuellen Bausteine Von Markus Stanczyk Inhaltsverzeichnis 1. Einführung 1.1 Was ist ein FGPA? 2. Aufbau eines FPGA 2.1 Logikblöcke 2.2 Verbindungsarchitektur 3. Marktüberblick 3.1 Allgemein

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

VarioTAP Einführung Hosea L. Busse

VarioTAP Einführung Hosea L. Busse VarioTAP Einführung Hosea L Busse GÖPEL electronic GmbH 2013 JTAG/Boundary Scan 1 Überblick Was ist VarioTAP? Prinzipielle Struktur eines µcontrollers VarioTAP Teststruktur VarioTAP Testkategorien VarioTAP

Mehr

Anwendungshinweis zum SolarEdge Einspeisemanagement

Anwendungshinweis zum SolarEdge Einspeisemanagement Anwendungshinweis zum SolarEdge Einspeisemanagement Dieses Dokument beschreibt, wie die Wechselrichter-Ausgangsleistung kontrolliert und/oder begrenzt wird. Dieser Anwendungshinweis beschreibt zwei Optionen

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme

ALL-100. Universal Programmer System. Das Programmiersystem für Embedded Systeme Universal Programmer System Das Programmiersystem für Embedded Systeme - Hohe Programmiergeschwindigkeit - Überspannungsschutz - Schutz gegen fehlerhaftes einlegen des Bauteils - Selbstdiagnose - Breites

Mehr

Fuzzy Logik und Genetische Algorithmen für adaptive Prozesskontrolle

Fuzzy Logik und Genetische Algorithmen für adaptive Prozesskontrolle Fuzzy Logik und Genetische Algorithmen für adaptive Prozesskontrolle Umgang mit unsicherem Wissen VAK 03-711.08 Oliver Ahlbrecht 8. Dezember 2005 Struktur 1. Einleitung 2. Beispiel Cart-Pole 3. Warum Hybride

Mehr

Achtung! Nur ausgewählte Folien

Achtung! Nur ausgewählte Folien Achtung! Nur ausgewählte Folien Zu der Vorlesungsreihe: Programmierbare Logikbausteine Studiengänge: Ingenieurinformatiker, Elektrotechnik und Informationstechnik Seite 1 Dipl. Ing. Th. Rommel PLD Programmierbare

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

s.beat DAP-10X White Paper USB Stromversorgung am Apple Macintosh und deren Auswirkung

s.beat DAP-10X White Paper USB Stromversorgung am Apple Macintosh und deren Auswirkung White Paper s.beat DAP-10X USB Stromversorgung am Apple Macintosh und deren Auswirkung Date: 19 May 2006 Version: 1.0 Sprache: Deutsch Disposition: öffentlich Dokument: sbeat_dap-10x_mac_information_2006-25-04_de.doc

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

S7-Hantierungsbausteine für R355, R6000 und R2700

S7-Hantierungsbausteine für R355, R6000 und R2700 S7-Hantierungsbausteine für R355, R6000 und R2700 1. FB90, Zyklus_R/W Dieser Baustein dient zur zentralen Kommunikation zwischen Anwenderprogramm und dem Modul R355 sowie den Geräten R6000 und R2700 über

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Hilfe bei der Qual der Wahl

Hilfe bei der Qual der Wahl Hilfe bei der Qual der Wahl Die große Auswahl an verfügbaren Halbleitern ermöglicht heute sehr unterschiedliche TOPOLOGIEN BEIM DESIGN VON ABWÄRTSWANDLERN. AUTOMOBIL-ELEKTRONIK stellt diese Topologien

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Pulse Pattern Controller

Pulse Pattern Controller PPC-1 and PPC-2 Ansteuerung von Hochstrom Puls-Generatoren programmierbares Pulsmuster schnelle Aufzeichnung von Pulsspannung und Pulsstrom Einbindung zusätzlicher Messsensoren flexibler Einsatz durch

Mehr

Bedienungsanleitung. LED Tristar

Bedienungsanleitung. LED Tristar Bedienungsanleitung LED Tristar Inhaltsverzeichnis 1. Sicherheitshinweise... 3 1.1. Hinweise für den sicheren und einwandfreien Gebrauch... 3 2. Einführung... 4 2.1. Features... 4 3. Dipswitch Einstellungen

Mehr

WLAN Konfiguration. Michael Bukreus 2014. Seite 1

WLAN Konfiguration. Michael Bukreus 2014. Seite 1 WLAN Konfiguration Michael Bukreus 2014 Seite 1 Inhalt Begriffe...3 Was braucht man für PureContest...4 Netzwerkkonfiguration...5 Sicherheit...6 Beispielkonfiguration...7 Screenshots Master Accesspoint...8

Mehr

USB 2.0 PCI-Karte mit NEC CHIPSATZ

USB 2.0 PCI-Karte mit NEC CHIPSATZ Handbuch USB 2.0 PCI-Karte mit NEC CHIPSATZ deutsch Handbuch_Seite 2 Handbuch_Seite 3 Inhalt 1.0 Eigenschaften 4 2.0 Systemvoraussetzungen 4 3.0 Hardware Installation 4 4.0 Treiber Installation (Windows

Mehr

RFID-Chip für 1 Cent Zukunftstechnologie Polymerelektronik RFID aus dem Tintenstrahldrucker

RFID-Chip für 1 Cent Zukunftstechnologie Polymerelektronik RFID aus dem Tintenstrahldrucker neugierig.05 RFID-Chip für 1 Cent Zukunftstechnologie Polymerelektronik RFID aus dem Tintenstrahldrucker Eike Becker Institut für Hochfrequenztechnik TU Braunschweig 1 Institut für Hochfrequenztechnik

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

SU-2000. http://www.ahlersedv.de. Egerlandstr. 24a, 85368 Moosburg 08761/4245 oder 63708, FAX 1485. Vorteile der Cartridges

SU-2000. http://www.ahlersedv.de. Egerlandstr. 24a, 85368 Moosburg 08761/4245 oder 63708, FAX 1485. Vorteile der Cartridges Vorteile der Cartridges Der SU-2000 ist ein neues Gang- Programmiergerät, das speziell für die Arbeit ohne PC ausgelegt ist. Die Bedienung ist unkompliziert und umfassend möglich mit dem integrierten LCD-Display

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2)

Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Kurzbeschreibung: Befehlssatz zum High Speed Interface-88-USB (HSI-88-USB) (ab Firmware 0.71) (Version 1.2) Beim HSI-88-USB handelt es sich um ein Interface vom s88-rückmeldebus zum Universal Serial Bus

Mehr

Technical Note 0606 ewon

Technical Note 0606 ewon PCE Deutschland GmbH Im Langel 4 59872 Meschede Telefon: 02903 976 990 E-Mail: info@pce-instruments.com Web: www.pce-instruments.com/deutsch/ Technical Note 0606 ewon M2Web - 1 - Inhaltsverzeichnis 1 Allgemeines...

Mehr

DF PROFI II PC/104-Plus

DF PROFI II PC/104-Plus DF PROFI II PC/104-Plus Installationsanleitung V1.3 04.08.2009 Project No.: 5302 Doc-ID.: DF PROFI II PC/104-Plus COMSOFT d:\windoc\icp\doku\hw\dfprofi ii\installation\pc104+\version_1.3\df profi ii pc

Mehr

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70 Thermoguard Thermoguard CIM Custom Integration Module Version 2.70 Inhalt - Einleitung... 3 - Voraussetzungen... 3 - Aktivierung und Funktion der Schnittstelle... 3 - Parameter... 4 - NLS-Einfluss... 4

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Programmierbare Logikbauelemente

Programmierbare Logikbauelemente Programmierbare Logikbauelemente Architekturen und Anwendungen von Axel Sikora mit 148 Bildern und 31 Tabellen HANSER Grundlagen 13 1.1 Einführung 13 1.2 Grundlagen digitaler Schaltungen 15 1.2.1 Grandlagen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice easyident Türöffner Art. Nr. FS-0007 Wir freuen uns, das sie sich für unser Produkt easyident Türöffner, mit Transponder Technologie entschieden haben. Easyident Türöffner ist für Unterputzmontage in 55mm

Mehr

Wo finde ich die Software? - Jedem ProLiant Server liegt eine Management CD bei. - Über die Internetseite http://www.hp.

Wo finde ich die Software? - Jedem ProLiant Server liegt eine Management CD bei. - Über die Internetseite http://www.hp. Erfahrungen mit dem Insight Manager von HP Dipl. Ing. Elektrotechnik (FH) - Automatisierungs- / Regelungstechnik DV-Spezialist Landesbank Rheinland-Pfalz Abteilung 2-351 Große Bleiche 54-56 55098 Mainz

Mehr

Referenz-Konfiguration für IP Office Server. IP Office 8.1

Referenz-Konfiguration für IP Office Server. IP Office 8.1 Referenz-Konfiguration für IP Office Server Edition IP Office 8.1 15-604135 Dezember 2012 Inhalt Kapitel 1: Einführung... 5 Zweck des Dokuments... 5 Zielgruppe... 5 Zugehörige Dokumente... 5 Kapitel 2:

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

DSO. Abtastrate und Speichertiefe

DSO. Abtastrate und Speichertiefe DSO Abtastrate und Speichertiefe Inhalt Inhalt...- 1 - Feine Signaldetails und lange Abtastzeiträume...- 2 - Was ein großer Speicher bewirkt...- 2 - Einfluss der Oszilloskop-Architektur auf die Update-Rate...-

Mehr

ICCS SSP 10. Merkmale. Anwendungsbeispiele

ICCS SSP 10. Merkmale. Anwendungsbeispiele ICCS Standard Switch Panel mit 10 Tasten (SSP10) gehört zu der ICCS (Intelligent Control and Command Systems) Produktgruppe. Es kann entweder als selbständiges Modul oder als Erweiterung zu bestehenden

Mehr

R ist freie Software und kann von der Website. www.r-project.org

R ist freie Software und kann von der Website. www.r-project.org R R ist freie Software und kann von der Website heruntergeladen werden. www.r-project.org Nach dem Herunterladen und der Installation von R kann man R durch Doppelklicken auf das R-Symbol starten. R wird

Mehr

Grundlagen der Eletrotechnik Praktikum. Einführung in. National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM

Grundlagen der Eletrotechnik Praktikum. Einführung in. National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM Grundlagen der Eletrotechnik Praktikum Einführung in National Instruments Educational Laboratory Virtual Instrumentation Suite NI ELVIS TM für das Praktikum im Fachgebiet Allgemeine und Theoretische Elektrotechnik

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

TBE332 Controller. Produktbeschreibung

TBE332 Controller. Produktbeschreibung TBE332 Controller Produktbeschreibung Bei der Entwicklung der TBE332 Steuerung wurde auf die Erfahrung unserer jahrelangen Planung und Realisierung verschiedenster Steuerungs- und Regelungseinrichtungen

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server.

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: - Ein Bootimage ab Version 7.4.4. - Optional einen DHCP Server. 1. Dynamic Host Configuration Protocol 1.1 Einleitung Im Folgenden wird die Konfiguration von DHCP beschrieben. Sie setzen den Bintec Router entweder als DHCP Server, DHCP Client oder als DHCP Relay Agent

Mehr

Technisches Handbuch MDT IP Interface SCN

Technisches Handbuch MDT IP Interface SCN Technisches Handbuch MDT IP Interface SCN Adresse MDT technologies GmbH Geschäftsbereich Gebäudeautomation Papiermühle 1 51766 Engelskirchen Internet: www.mdtautomation.de E-mail: automation@mdt.de Tel.:

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Batterie-Monitor für Hybrid- und E-Mobile mit 0,04% garantierter Genauigkeit

Batterie-Monitor für Hybrid- und E-Mobile mit 0,04% garantierter Genauigkeit Batteriemanagement Batterie-Monitor für Hybrid- und E-Mobile mit 0,04% garantierter Genauigkeit 05.11.12 Redakteur: Thomas Kuther PDF Weiterempfehlen Merken Drucken Der LTC6804: ein hochgenauer Mehrzellen-Hochspannungsbatterie-Monitor

Mehr