Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Ähnliche Dokumente
Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

GTI Bonus VHDL - EXTRA

Klausur zur Vorlesung

Hardware/Software-Codesign

Schriftliche Prüfung

Teil IV. Schaltwerke

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Grundlagen der Technischen Informatik. 13. Übung

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

5.2 Endliche Automaten

Übung Hardwareentwurf

15 Einführung in den Entwurf von Zustandsautomaten

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

Semestralklausur Einführung in Computer Microsystems

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Laborübung 4. Zustandsautomaten (Finite State Machines)

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

(Prüfungs-)Aufgaben zu Schaltnetzen

Klausur. Softwareentwurf. 14. Februar 2011 Bearbeitungszeit: 120 Minuten

DuE-Tutorien 16 und 17

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Analog- und Digitalelektronik

2.2 Register-Transfer-Synthese

Grundlagen der Digitaltechnik GD. Aufgaben

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 3. Februar 2006

12 VHDL Einführung (III)

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./

Klausur zu Grundlagen der Programmierung in C

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

Klausur-Nachbesprechung

Zwischenklausur Informatik, WS 2014/15

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Übung 7: VHDL Automaten

Klausur. Betriebssysteme SS 2007

Klausur Lösung

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Klausur: Modellierung. Bitte genau durchlesen!

2. Schriftliche Leistungskontrolle (EK)

Name: DT2 Klausur

Universität zu Lübeck Institut für Telematik. Prof. Dr. Stefan Fischer

Inhaltsverzeichnis. 1 Einleitung 1

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Teil V. Programmierbare Logische Arrays (PLAs)

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Integrierte Schaltungen

Musterlösung zur Hauptklausur Theoretische Grundlagen der Informatik Wintersemester 2013/14

3 Verarbeitung und Speicherung elementarer Daten

Vorlesung im Sommersemester Informatik IV. Probeklausurtermin: 21. Juni 2016

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Klausur zur Vorlesung Einführung in Verteilte Systeme WS 05/06 Prof. Dr. Odej Kao 30. März 2006

Synthese digitaler Schaltungen Aufgabensammlung

Semestralklausur zu Modellierung verteilter Systeme

Lösung. H_DA Dr. Frank Fachbereich I. Unterschrift:

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Einführung in die technische Informatik

1. Klausur zur Vorlesung Informatik III Wintersemester 2004/2005

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Klausur "Elektronik und Messtechnik" 9115/6203. am Teil: Elektronik

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

Lösungsvorschlag zu 1. Übung

Vertraulich. Nachname: Vorname: Matrikel-Nummer: Studiengang: Datum: 30. Januar 2015

Klausur über den Stoff der Vorlesung Grundlagen der Informatik II (90 Minuten)

Eingebettete Systeme

Multiplikationschip. Multiplikation. Beitrag zu "Werkstattunterricht Multiplikation" Allgemeine Didaktik - Seminar SS95. Oberwiesenstr.

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

Aufgabe Mögliche Punkte Erreichte Punkte a b c d Σ a b c d Σ x1 13

Klausur über den Stoff der Vorlesung Grundlagen der Informatik II (90 Minuten)

Digitale Systeme und Schaltungen

Scheinklausur zur Vorlesung Praktische Informatik I. 12. November Punkteübersicht

Kapitel 4. Versuch 415 T-Flipflop

Hauptklausur zur Vorlesung Theoretische Grundlagen der Informatik Wintersemester 2011/2012

3 Initialisierung. Initialisierung. Addieren clk_mkand= clk_produkt= multiplexer= multiplexer= I0 init/>>1= mon. init/>>1= 0.

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Hardware Praktikum 2008

Klausur zur Vorlesung: Einführung in die objektorientierte Programmierung mit Java im Wintersemester 2015/16

TheGI 2: Berechenbarkeit und Komplexität. Veranstalter: Uwe Nestmann, Johannes Borgström, Philipp Küfner Sommersemester

Vertraulich. Nachname: Vorname: Matrikel-Nummer: Studiengang: WIN TI Datum: Maximale Punktzahl: 58 Erreichte Punktzahl: Note: Datum: Unterschrift:

Technischen Informatik I, WS 2004/05

Klausur: Modellierung Prof. Dr. U. Kastens, Universität Paderborn Wintersemester 2000/ , 09:00 11:00 Uhr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

Lösungsvorschlag 3. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Transkript:

Klausur zur Vorlesung Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 25.3.2 Die Bearbeitungsdauer beträgt für alle Studenten 9 Minuten. Es sind alle 5 Aufgaben zu bearbeiten. Es sind keine Hilfsmittel zugelassen. Schreiben Sie nicht mit Bleistift oder Rotstift. Verwenden Sie kein eigenes Papier. Bei Bedarf bekommen Sie Papier bei der Klausuraufsicht. Schreiben Sie auf jedes Blatt (auch auf das Konzeptpapier) in Blockschrift Ihren Namen und Ihre Matrikelnummer. Bei mehreren präsentierten Lösungen wird die Aufgabe nicht gewertet! Streichen Sie daher bei Angabe mehrerer Lösungsansätze die nicht zu bewertenden Lösungen durch! Verwenden Sie kein Tipp-Ex. Abschreiben und abschreiben lassen oder Hilfe Dritter führt zum Nichtbestehen der Klausur. Nachname: Vorname: Aufkleber Matrikelnummer: Studiengang: Aufgabe 2 3 4 5 Punkte 5 5 25 5 2 9 Erreicht

GRA/TI Aufgabe (Multiple Choice) [5 Punkte] Bei den folgenden Fragen können keine eine oder mehrere Antworten richtig sein. Kreuzen Sie die richtigen Antworten deutlich an. (a) Welche der folgenden Boolesche Ausdrücke sind dual? a dual ā a b dual a + b dual a b a + b a b dual ā + b (b) Schaltungen in mehrstufiger Logik... benötigen meist weniger Gatter als in 2-stufiger Logik kann man nicht bzgl. der Anzahl der Gatter optimieren sind im allgemeinen schneller als in 2-stufiger Logik (c) Welche Aussagen sind bzgl. der One-hot Zustandskodierung richtig: Es werden jeweils zwei Flip-Flops für die Kodierung eines Zustandes verwendet. Jedem Zustand entspricht genau ein Flip-Flop. Die One-hot Kodierung benötigt im allgemeinen mehr Flip-Flops als die binäre Kodierung. Seite 2 / 2

NAME: Matrikelnummer: (d) Ein Automat ist deterministisch wenn für jedes Paar (Zustand Eingabesymbol) gilt: es gibt mindestens einen Nachfolgezustand es gibt genau einen Nachfolgezustand es gibt höchstens einen Nachfolgezustand alle Nachfolgezustände sind äquivalent (e) Bei einem Code mit der Hammingdistanz 5 kann man... 2 Fehler korrigieren 4 Fehler erkennen 4 Fehler erkennen und gleichzeitig korrigieren Seite 3 / 2

GRA/TI Aufgabe 2 (Automatenmodellierung mit VHDL) [5 Punkte] (a) Abbildung zeigt einen Mealy-Automaten mit zwei Zuständen. Transformieren Sie den Automaten aus Abbildung in einen äquivalenten Moore-Automaten. (3 Punkte) / A / B / / Abbildung : Mealy Automat mit zwei Zuständen Seite 4 / 2

NAME: Matrikelnummer: Source-Code : VHDL Spezifikation für den Mealy Automaten e n t i t y fsm i s port ( c lk r e s e t x : i n s t d l o g i c ; y : out s t d l o g i c ) ; end e n t i t y ; a r c h i t e c t u r e a r c h m e a l y of fsm i s type s t a t e t y p e i s (AB ) ; s i g n a l s t a t e : s t a t e t y p e ; begin process p r o c f s m ( c l k r e s e t ) begin i f ( r e s e t = ) then s t a t e <= A ; e l s i f ( c l k e v e n t and c l k = ) then case s t a t e i s when A => y <= x ; s t a t e <= B ; when B => i f x = then s t a t e <= A ; y <= ; e l s e s t a t e <= B ; y <= ; end i f ; end case ; end i f ; end process ; end a r c h m e a l y ; (b) Source-Code zeigt eine VHDL Spezifikation des Mealy-Automaten aus Abbildung. Auf der nächsten Seite ist ein VHDL Code-Fragment (Source-Code 2) abgebildet. Ergänzen Sie dieses Fragment so dass die architecture arch moore einen Moore-Automaten implementiert der zu dem Mealy-Automanten äquivalent ist. (2 Punkte) Hinweise: Die entity des Moore-Automaten ist identisch mit der des Mealy-Automaten. Der Prozess proc transitions ist allein für das Umschalten zwischen den Zuständen verantwortlich. Benutzen Sie für die Implementierung der Ausgabe den Platz nach dem Prozess proc transitions. Es ist möglich die Ausgabe des Automaten in Form eines Prozesses oder als spezielle Signalzuweisung zu implementieren. Seite 5 / 2

GRA/TI Source-Code 2: VHDL Spezifikation für den Moore Automaten architecture arch moore of fsm i s type s t a t e t y p e i s (A B B ) ; s i g n a l s t a t e : s t a t e t y p e ; begin process p r o c t r a n s i t i o n s ( r e s e t c l k ) begin i f ( r e s e t = ) then s t a t e <= A ; e l s i f ( clk e v e n t and c l k = ) then case s t a t e i s when A => i f x = then s t a t e <= B ; e l s e s t a t e <= B ; end i f ; when B => i f x = then s t a t e <= A ; end i f ; when others => i f x = then s t a t e <= A ; e l s e s t a t e <= B ; end i f ; end case ; end i f ; end process ; y <= when s t a t e = B e l s e ; end arch moore ; Seite 6 / 2

NAME: Matrikelnummer: Source-Code 2: VHDL Spezifikation für den Moore Automaten (Ersatz) a r c h i t e c t u r e arch moore o f fsm i s type s t a t e t y p e i s ( ) ; s i g n a l s t a t e : s t a t e t y p e ; b e gin p r o c e s s p r o c t r a n s i t i o n s ( ) b e gin i f ( r e s e t = ) then s t a t e <= ; e l s i f ( c l k e v e n t and c l k = ) then case s t a t e i s when => end case ; end i f ; end p r o c e s s ; end arch moore ; Seite 7 / 2

GRA/TI Aufgabe 3 (Automatenentwurf) [25 Punkte] Für den in Abbildung 2 spezifierten Automaten soll eine Schaltung entworfen werden. x2/y2 e x/y x2/y2 a x/y2 x/y2 b x/y d x2/y x2/y2 x2/y x/y c Abbildung 2: Mealy-Automat (a) Geben Sie die Zustandsmenge S die Menge der Eingabesymbole X die Menge der Ausgabesymbole Y und den Initialzustand S I an. Beschreiben Sie die Zustandsübergangsfunktion δ(s x) und Ausgangsfunktion λ(s x) durch eine Automatentafel. (4 Punkte) S = { } X = { } Y = { } S I = { } δ(s x)/λ(s x): Seite 8 / 2

NAME: Matrikelnummer: (b) Minimieren Sie die Zustandsmenge des Automaten mit dem Ginsburg/Huffman- Verfahren. Geben Sie alle Zwischenschritte an. Spezifizieren Sie den reduzierten Automaten und zeichnen Sie den Automatengraphen: (8 Punkte) S = { } X ={ } Y = { } S I = { } δ (s x)/λ (s x): Seite 9 / 2

GRA/TI (c) Verwenden Sie für die Zustandsmenge S eine One-hot Codierung und codieren Sie die Menge der Eingabesymbole X und die Menge der Ausgabesymbole Y geeignet. Geben Sie die Übergangstabelle an: (5 Punkte) (d) Leiten Sie aus der Übergangstabelle minimierte SOP-Formen für die Ausgänge und die Folgezustandsvariablen an. Verwenden Sie dazu Karnaugh-Diagramme und geben Sie alle Zwischenschritte an. Wo möglich verwenden Sie don t cares zur Ableitung minimierter Funktionen. (8 Punkte) Seite / 2

NAME: Matrikelnummer: Seite / 2

GRA/TI Aufgabe 4 (Arithmetik im 2er-Komplement) [5 Punkte] Gegeben ist ein 6 Bit Register das eine Binärzahl im 2er-Komplement darstellt. Die Binärzahl soll eine Festkommazahl mit einer Genauigkeit von mindestens darstellen. (a) Was ist die minimale Anzahl an Bits für den Nachkommateil um die gewünschte Genauigkeit zu erreichen? ( Punkt) 4 Bits (b) Was ist die größte positive Ganzzahl die dargestellt werden kann? ( Punkt) 247 (c) Stellen die nachfolgenden Zahlen im 2er Komplement dar. Tragen Sie dazu die Bits in das gegebene Schema ein und setzen Sie die Kommastelle an die passende Position (in das kleine Quadrat). (6 Punkte) 5 23 5: 5 23 5: 5 35 5625: 5 35 5625: 5 43 875: 5 43 875: Seite 2 / 2

NAME: Matrikelnummer: (d) Führen Sie die folgenden Berechnungen im 2er-Komplement durch: (7 Punkte) 5 355625 5 235 = + 59625 Dezimal 2er-Komplement 5-235 5 43875 = + -6325 Dezimal 2er-Komplement 5-355625 5-43875 = - 277625 Dezimal 2er-Komplement Seite 3 / 2

Seite 4 / 2 GRA/TI

NAME: Matrikelnummer: Seite 5 / 2

GRA/TI Aufgabe 5 (Array Multiplizierer) [2 Punkte] In Abbildung 3 ist ein 2 2 bit Array Multiplizierer gegeben mit Z = X Y der mit Bit Volladdierern (VA) und 2-ANDs () aufgebaut ist. (a) Tragen Sie für die Berechnung von Z mit X = 2 und Y = 2 die fehlenden Werte in die Abbildung ein. Für die x y und z tragen Sie bitte die jeweilige Stelle der Binärzahl ein. (6 Punkte) x = y = x = y = x = y = x = y = VA VA z 3 = z 2 = z = z = Abbildung 3: 2 2 bit Array Multiplizierer Seite 6 / 2

NAME: Matrikelnummer: a Carry in a b VA b Carry out s z Abbildung 4: Bit Volladdierer (VA) und 2-AND () (b) Entwickeln Sie mit Hilfe der in Abbildung 4 gegebenen Komponenten einen 4 2 bit Array Multiplizierer Z = X Y. Dabei hat X = (x 3 x 2 x x ) 4 Bits und Y = (y y ) 2 Bits. (2 Punkte) x 3 y x 2 y x y x y x 3 y x 2 y x y x y VA VA VA VA VA VA z 5 z 4 z 3 z 2 z z Seite 7 / 2

GRA/TI (c) Wie viele Bits hat das Ergebnis der Multiplikation Z = X Y aus Aufgabenteil (b)? Z = (z 5 z 4 z 3 z 2 z z ) 6 Bits ( Punkt) (d) Wie hoch ist das Delay des Array-Multiplizierers aus Aufgabenteil (b) wenn davon ausgegangen wird dass jede in Abbildung 4 gegebene Komponente ein Delay von einer Zeiteinheit besitzt? ( Punkt) 5 Zeiteinheiten Seite 8 / 2

NAME: Matrikelnummer: Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 9 / 2

GRA/TI Konzeptpapier: Falls der Platz unter den einzelnen Aufgaben nicht ausreicht können Sie diese Seiten für Zwischenrechnungen nutzen. Bitte Lösung und Lösungsweg eindeutig mit der Aufgabennummer markieren! Seite 2 / 2