Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Ähnliche Dokumente
Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Analog- und Digitalelektronik

Klausur zur Vorlesung

KLAUSUR DIGITALTECHNIK SS 00

DuE-Tutorien 16 und 17

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VU Grundlagen digitaler Systeme

Semestralklausur Einführung in Computer Microsystems

Protokoll zum Versuch Flip-Flop

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technischen Informatik 1 und 2

4. Anhang Unterschrift

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

16 Latches und Flipflops (Bistabile Kippstufen)

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Übung 7: VHDL Automaten

Entwurf und Verifikation digitaler Systeme mit VHDL

Einführung in die technische Informatik

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

DuE-Tutorien 17 und 18

Praktikum Grundlagen der Elektronik

Entwurf und Simulation einfacher Logikelemente

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude (Anfängerpraktikum) 1. Stock, Raum 430

Übungsblatt 8 Lösungen:

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, VHDL Formelsammlung

Grundlagen der Digitaltechnik GD. Aufgaben

Speicherung digitaler Signale

Flipflops. asynchron: Q t Q t+t

Protokoll zu Grundelemente der Digitaltechnik

Einführung in VHDL (2)

Klausur "Elektronik" am

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

Labor Grundlagen der Elektrotechnik

Digitaltechnik. Selina Malacarne Nicola Ramagnano. 1 von 21

Schaltungstechnik 1 (Wdh.)

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1,

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

5. Vorlesung: Normalformen

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Das große All-in-All CPLD/FPGA Tutorial

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übungsklausur WS 13/14

9 Multiplexer und Code-Umsetzer

Einführung in. Logische Schaltungen

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8

1. Praktische Übung zur Vorlesung Technische Informatik I

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

Hardwarepraktikum WS05/06

Technische Universität Clausthal

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

5.2 Endliche Automaten

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Probeklausur Elektronik (B06)

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

12 Digitale Logikschaltungen

Klausur zur Vorlesung Höhere Mathematik I

2. Einführung in VHDL

Aufgaben und Lösungen

Hinweise. Auswertung. Einführung in die Technische Informatik WS 2008/2009 Probeklausur. Aachen, 12. Januar 2009 SWS: V4/Ü2, ECTS: 7

1. Beschreibung der Aufgabe

Enseignement secondaire technique

Informationsverarbeitung auf Bitebene

Integrierte Schaltungen

Klausur "Elektrotechnik" am

1 Das Kommunikationsmodell

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Einführung in die technische Informatik

Einstellige binäre Addierschaltung (Addierer)

Amateurfunkkurs. Erstellt: Landesverband Wien im ÖVSV. Digitale Signalverarbeitung. R. Schwarz OE1RSA. Übersicht. Definition.

Kennenlernen der Laborgeräte und des Experimentier-Boards

Musterlösungen. zu den Übungsaufgaben vom

Grundlagen der Informatik

Digitalelektronik - Inhalt

Digitale Steuerung. Hardwarepraktikum für Informatiker Matr. Nr.:... Versuch Nr.5. Vorkenntnisse: Universität Koblenz Landau Name:...

VHDL Verhaltensmodellierung

10 Übungsaufgaben mit Lösungen

MB-Diplom (4. Sem.) / MB-Bachelor (Schwerpunkt Mechatronik, 5. Sem.) Seite 1 von 8. Wintersemester 2014/15 Elektronik

3. Prozesse in VHDL 1

A.1 Schaltfunktionen und Schaltnetze

TheGI 1: Grundlagen und algebraische Strukturen Prof. Dr.-Ing. Uwe Nestmann Februar Schriftliche Leistungskontrolle (EK)

Black Box erklärt Logische Verknüpfungen

Teil 1: Digitale Logik

Rechnenund. Systemtechnik

Musterlösung. Aufg. P max 1 13 Klausur "Elektrotechnik" am

VHDL Verhaltensmodellierung

Klausur Elektronische Schaltungen

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Bearbeitungszeit: 120 Minuten. Kommentare kosten Zeit; kommentieren Sie ihr Programm nur da, wo der Code alleine nicht verständlich wäre.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Systembeschreibung und Entwurf

Transkript:

Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer :.............................................. Studiengang :.............................................. Aufgabe 2 3 4 5 6 7 8 max. Punkte 0 0 8 8 2 6 2 24 00 erreichte Punkte Korrektor Wichtige Hinweise: Mobiltelefone sind auszuschalten Deckblatt ausfüllen Kopf aller abgegebenen Seiten mit Namen und Matrikelnummer versehen für die Lösung darf weder Bleistift noch Rotstift verwendet werden für die Lösungen Aufgabenblätter verwenden der Lösungsweg muss nachvollziehbar sein Taschenrechner und Vorlesungsskript sind erlaubt Betrugsversuche werden mit einem Nichtbestehen der Klausur geahndet

Aufgabe Name :.................... Mtr.-Nr.:.............. Aufgabe (0 Punkte) (a) Formen Sie die gegebene Funktion so um, dass sie ausschließlich auf NOR-Gatter mit drei Eingängen abgebildet werden kann! Es stehen die negierten und nichtnegierten Literale zur Verfügung. f(x) = x 0 x x 2 + x 0 x 2 + x x 2 x 3 (b) Formen Sie die gegebene Funktion so um, dass sie auf NAND-Gatter mit zwei Eingängen abgebildet werden kann! Es stehen die negierten und nichtnegierten Literale zur Verfügung. f(x) = (x + x 2 + x 3 ) (x 0 + x ) (x 0 + x + x 2 ) Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 2

Aufgabe 2 Name :.................... Mtr.-Nr.:.............. Aufgabe 2 (0 Punkte) Wenden Sie das Tison-Minimierungsverfahren auf die folgende Funktion an: f(x) = x x 2 x 3 x 4 x 8 + x x 2 x 5 x 9 + x x 2 x 3 x 9 + x x 2 x 4 x 6 x 8 Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 3

Aufgabe 3 Name :.................... Mtr.-Nr.:.............. Aufgabe 3 (8 Punkte) Wenden Sie das Shannon-Verfahren auf die Funktion f(x) an. Die Entwicklung nach x x 2 ist in einem Schritt durchzuführen! f(x) = x 3 + x x 2 x 4 + x x 2 x 4 + x x 6 Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 4

Aufgabe 4 Name :.................... Mtr.-Nr.:.............. Aufgabe 4 (8 Punkte) Bestimmen Sie die minimale logische Funktion y der dargestellten Schalterschaltung! Es gilt, dass mit dem Anlegen einer ein Schalter geschlossen und mit dem Anlegen einer 0 ein Schalter geöffnet wird. a c b d y e b d e c a 0 Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 5

Aufgabe 5 Name :.................... Mtr.-Nr.:.............. Aufgabe 5 (2 Punkte) Der nachfolgende VHDL-Quellcode beschreibt eine einfache Logikschaltung: entity dingsda is port ( a : in std_logic ; b : in std_logic ; c : inout std_logic ; z : out std_logic ) ; end dingsda ; architecture behavioral of dingsda is signal int : std_logic ; signal int2 : std_logic ; begin int <= a xor b ; int2 <= a xnor b ; c <= int or c ; z <= int2 and c ; end behavioral ; (a) Zeichnen Sie ein Schaltbild der beschriebenen Schaltung und beschriften Sie alle Leitungen mit den zugehörigen Signalnamen. (b) Warum wird das Signal c als inout deklariert? Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 6

Aufgabe 6 Name :.................... Mtr.-Nr.:.............. Aufgabe 6 (6 Punkte) Gegeben sind die beiden Funktionen: f (x) = x 0 x 6 + x 0 x 5 + x 3 (x 2 x 4 + x ) + x 0 x 5 f 2 (x) = x 2 (x 3 x 4 + x x 3 x 6 ) + x 0 x x 6 + x 0 x 6 x (a) Minimieren Sie die beiden Funktionen! (b) Realisieren Sie die beiden Funktionen möglichst effektiv mit der gegebenen PLA-Struktur! Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 7

Aufgabe 7 Name :.................... Mtr.-Nr.:.............. Aufgabe 7 (2 Punkte) Gegeben ist folgende Gatterschaltung: E E 2 E 3 A 2 A 4 A A 3 Für die Gatterverzögerungszeiten gilt: NAND : t plh = ns t phl =2 ns NOT : t plh = ns t phl = ns Für alle Gatter gilt: t r = t f = 0! Zeichnen Sie den Verlauf der SignaleA bisa 5 für den gegebenen Eingangssignalverlauf in das nachfolgende Diagramm ein! E E 2 E 3 A A 2 A 3 A 4 5 0 5 t[ns] Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 8

Aufgabe 8 Name :.................... Mtr.-Nr.:.............. Aufgabe 8 (24 Punkte) Es soll eine Steuerung für eine Schranke (Schlagbaum) einer Tiefgarageneinfahrt entworfen werden. Das Eingangssignal A liefert eine Eins wenn sich ein Fahrzeug im Bereich der Schranke befindet. Das Eingangssignal T liefert eine Eins wenn der Taster für die Anforderung des Parktickets an der Schranke gedrückt wurde. Der Moore-Automat kommt mit vier Zuständen aus: Ruhezustand (Z 0 ), Drucken eines Parktickets (Z ), Durchlassen eines Fahrzeugs (Z 2 ) und Warten nachdem ein Fahrzeug die Schranke passiert hat (Z 3 ). Wird ein Ticket gedruckt, bleibt die Schranke unten.z 3 verhindert die mögliche Beschädigung eines die Schranke passierenden Fahrzeugs durch die zu früh abfallende Schranke. Das Betätigen des Ticketanforderungstasters (T), ohne dass sich ein Auto im Bereich der Schranke befindet, löst keine Funktion aus. Das Anheben der Schranke wird durch das Setzen des Schrankensignals S auf Eins bewirkt. Alle Signale der Steuerung sind high aktiv. Gegeben ist der Zustandsgraph des Automaten (Signalzuordnung zu dem Eingangsvektor des Automaten:AT) : (a) Vervollständigen Sie den Zustandsübergangsgraphen des beschriebenen Automaten! Z 0 Z 00 0 00 0 0 0 Z 3 Z 2 0 (b) Stellen Sie die Wahrheitstabelle für die Zustandsübergänge des Automaten auf! Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 9

Aufgabe 8 Name :.................... Mtr.-Nr.:.............. (c) Bestimmen Sie die minimalen Übergangsfunktionen mit Hilfe der KV-Diagramme! q q 0 : q 0 q : q 0 q A T A T (d) Wie lautet die Funktion für das Ausgangssignal S? (e) Der Automat soll als Schaltwerk unter Verwendung von D-Flipflops realisiert werden. Zeichnen Sie den Schaltplan des Automaten! Technische Grundlagen der Informatik - Digitale Systeme WS 2007/2008 0