Rechnerentwurf und Mikroprogrammierung

Größe: px
Ab Seite anzeigen:

Download "Rechnerentwurf und Mikroprogrammierung"

Transkript

1 Rechnerentwurf und Mikroprogrammierung Einführung in die Simulation und Synthese mit ModellSim/Quartus II Wolfgang Heenes FG Rechnerarchitektur 16. April 2009 Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

2 1 Anwendung von Hardware-Beschreibungssprachen 2 Simulation ICARUS ModelSim Xilinx ISE 3 FPGAs 4 Ein Beispiel 5 Quartus II 6 Der einfache Modellrechner WKP 7 Synthese von Schaltwerken Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

3 Anwendung von Hardware-Beschreibungssprachen Komplexität technischer Systeme steigt ständig. z. B. Intel Pentium Prozessor, 42 Millionen Transistoren Ohne rechnergestützte Hilfsmittel sind komplexe Systeme nicht zu beherrschen. Eine Beschreibungsmöglichkeit für Systeme sind HDLs. HDL - Hardware Description Language Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

4 Anwendung und Entwicklung der Hardware-Beschreibungssprachen I Designspezifikation, Simulation, Verifikation und Dokumentation Kommunikation zwischen Entwicklern Zur Beschreibung von Hardware wurden verschiedene Sprachen eingesetzt. z. B. Simula, Pascal, LISP, Petri-Netze In den 60er Jahren wurden Register-Transfersprachen entwickelt. In den 70er Jahren Entwicklung von: HDL (Hardware Description and Microprogramming Language, Hoffmann) RTS (Register Transfer System Language, Piloty) Verilog HDL Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

5 Anwendung und Entwicklung der Hardware-Beschreibungssprachen II In den 80er Jahren Entwicklung von: Ansatz für eine allgemeine, erweiterbare Sprache (CONLAN, 1983) VHDL (1983), Department of Defense, IEEE-Standard 1987 In den 90er Jahren Entwicklung von: Verilog HDL, IEEE-Standard SystemC Heute für Hardwarebeschreibung und Verifikation verbreitet: Verilog HDL VHDL (Very High Speed Integrated Circuit HDL) AHDL (Altera Hardware Description Language) Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

6 Anwendung und Entwicklung der Hardware-Beschreibungssprachen III Ende der 80er Jahre wurde begonnen, die Hardware-Beschreibungssprachen zur Programmierung von FPGAs 1 zu verwenden. Ursprünglich wurden dafür Spezialsprachen, wie z. B. Logik verwendet. Weitere Sprachen existierten bzw. existieren um Gleichungen für PALs, PLAs bzw. GAL 2 s zu beschreiben (ABEL). Daraus wurde von Altera die Sprache AHDL 3 abgeleitet. Die Anwendung von Verilog HDL und VHDL bei der Beschreibung von Hardware-Strukturen ist nicht immer unproblematisch (Stichwort: Semantic Gap). 1 Field Programmable Gate Array 2 Generic Array Logic 3 Altera Hardware Description Language Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

7 Simulatoren I ICARUS Verilog freier Simulator für verschiedene Plattformen (Windows, Linux) auf den Rechnern der Rechnerbetriebsgruppe (RBG) installiert Visualisierung mit GTKWave möglich HowTo auf den Webseiten verfügbar Mittlerweile wieder Weiterentwicklung, Unterstützung neuen Sprachfeatures Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

8 Verilog HDL Beschreibung eines Halbaddierers module hadd (a, b, c, s ) ; input a, b ; output c, s ; assign s = a ˆ b ; // ˆ exklusiv oder assign c = a & b ; // & und endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

9 Verilog HDL der Testumgebung module testbed (c, s ) ; reg a, b ; output c, s ; hadd t e s t (a, b, c, s ) ; i n i t i a l begin a = 0; b = 0; # 10 a = 1; b = 0; # 20 a = 1; b = 1; end i n i t i a l #50 $finish ; always@ ( c or s ) $display ( Ausgang s (Summe) i s t %b. Ausgang c ( Carry ) i s t %b. Zeitpunkte %d, s, c, $time ) ; endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

10 Verilog HDL der Testumgebung für GTKWave timescale 1ns /1 ns module testbed 1 (c, s ) ; reg a, b ; output c, s ; hadd t e s t (a, b, c, s ) ; i n i t i a l begin a = 0; b = 0; # 5 a = 1; b = 0; # 5 a = 1; b = 1; # 5 a = 0; b = 0; end i n i t i a l #30 $finish ; i n i t i a l begin $dumpfile ( hadd. vcd ) ; $dumpvars (0); end Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

11 Simulatoren II ModelSim-Altera kommerzielles Tool - Vertrieb über Altera HowTo auf Anfrage verfügbar bzw. auf der Webseite von Altera ( ModelSim ist von MentorGraphics und wird in einer speziellen Version mit Quartus II gebündelt. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

12 Simulatoren III Xilinx-ISE erlaubt neben funktionaler Simulation auch Postsynthese-Simulationen Synthese für Xilinx-Bausteine Außerdem integration von ModelSim-Xilinx möglich Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

13 Entwicklung der FPGAs PAL/PLA, PLD, CPLD 4, FPGA FPGA - Field Programmable Gate Array Erste Entwicklung 1984 von der Firma Xilinx Rasch wachsender Markt, zwei große Firmen Altera - Xilinx - Integration von CPU und FPGAs auf einem Die (Excalibur (Altera), Virtex II (Xilinx)) 4 Complex Programmable Logic Device Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

14 Aufbau eines FPGAs I (Cyclone Device Handbook, Altera) Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

15 Aufbau eines FPGAs II Als Beispiel wird ein FPGA der Cyclone-Familie der Firma Altera betrachtet. Dieses FPGA enthält vier Grundkomponenten. Ein- /Ausgabeelemente (IOEs). Bei dem betrachteten FPGA gibt es 233 IO-Pins, die dem Entwickler zur Verfügung stehen. Logik Felder (Logic Arrays). Diese Logic Arrays bestehen aus sogenannten Logic Elements (LE). Das betrachtete FPGA enthält Logic Elements, wobei zehn Logic Elements zu einem LAB 5 zusammengefaßt werden. Taktgenerator (PLL 6 ). Speicherblöcke (M4K Blocks). 5 Logik Array Block 6 Phase Looked Loop Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

16 LABs eines FPGAs Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

17 Logic Element eines FPGAs (allgemein) I Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

18 Logic Element eines FPGAs (Normal Mode) II Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

19 Speicher (Embedded Memory) I Der FPGA besitzt eingebettete Speicher. Die Gesamtgröße des Speichers beträgt Bits. Verschiedene Speicherstrukturen sind realisierbar. Single-Port Speicher Dual-Port Speicher ROM FIFO Die Konfiguration der Speicher kann in Quartus II mit dem MegaWizzard Plug-In Manager (Tools) durchgeführt werden. Zur Belegung der Speicher steht ein Speichereditor zur Verfügung mit dem sog. MIF 7 -Files generiert werden können. 7 Memory Information File Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

20 Speicher II Anschlüße des Speichers: Konfiguration möglich (z.b. Ausgang registered, nonregistered) Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

21 Ein-/Ausgabeblöcke Die Ein-/Ausgabeblöcke besitzen z.b. Tri-State Buffer und programmiere Eingangs- und Ausgangsverzögerungen. Struktur eines IO-Blocks: Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

22 Zum Begriff Synthese: Mit den Begriffen High-Level-Synthese, Architektursynthese oder Mikroarchitektursynthese bezeichnet man in der Literatur die Umsetzung einer algorithmischen Spezifikation in eine Schaltungsstruktur auf der Registertransferebene unter Einhaltung bestimmter Randbedingungen. Sehr komplexer Vorgang, Aufteilung in Aufgaben wie z. B.: lexikalische und syntaktische Analyse Ablaufplanung (scheduling, z. B. ASAP, ALAP) Zuweisung von Operationen zu bereitgestellten Betriebsmitteln (assignment, binding) Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

23 Ein einfaches Beispiel Folgendes Schaltnetz ist gegeben: Y = (A1 and A2) or ((B1 exor B2) and (C1 or C2)) Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

24 Verilog HDL Beschreibung module s chaltnetz (A1, A2, B1,B2, C1, C2,Y) ; input A1, A2, B1,B2, C1, C2 ; output Y; and myand1 ( outand1, A1, A2 ) ; xor myxor ( outxor, B1,B2 ) ; or myor1 ( outor, C1, C2 ) ; and myand2 ( outand2, outxor, outor ) ; or myor2 (Y, outand2, outand1 ) ; endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

25 RTL View Die Benutzung des RTL Viewers ergibt folgendes Bild: Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

26 Technology View I Aufruf des Technology Map Viewers ergibt: Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

27 Technology View II Markieren des rechten Blocks, rechte Maustaste - Locate in Resourcen Property Editor: Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

28 Technology View III Markieren des linken Blocks, rechte Maustaste - Locate in Resourcen Property Editor: Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

29 Technology View IV Die Sum Equations für den rechten Block sind: A # B & C. Für den linken Block ergeben sich: A & (B $ C) #!A & D & (B $ C). Diese Gleichungen entsprechen nicht den Gleichungen, die aus dem RTL Viewer abgeleitet werden können. Durch Umformung kann man die Äquivalenz zur Ausgangsgleichung zeigen. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

30 Design Flow in Quartus II Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

31 Die Schritte eines Design Flows Das Analysis & Synthesis Modul analysiert das Design und generiert eine Datenbank bzw. Datenbankeinträge. Außerdem wird das Design für die Zielarchitektur optimiert (Minimierung der Logik). Der Fitter paßt das Design an die verfügbaren Resourcen der Zielarchitektur an (Place und Route). Der Assembler generiert ein Programmierfile (programming image) des Designs für das ausgewählte Device (in diesem Fall Cyclone). Der Timing Analyzer erlaubt die Analyse des zeitlichen Verhaltens (Durchlaufzeit, Takt). Quelle: Quartus II Handbuch, Altera Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

32 Synthese eines Zählers Zählersimulation in Quartus II Zählersynthese mit Quartus II Programmierung des FPGAs über USB-Blaster Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

33 Der einfache Modellrechner WKP MEM Speicherschnittstelle madr mindat moutdat rw en 8 8 MD_IN IR selpc ldmdin ldir opc ld... clrpc PC incpc ldpc 8 8 ALU aluop STATUS STW D_OUT moutdat AKKU lddout lda ldstat, setc, clrc Ausgabe 8 Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

34 Der einfache Modellrechner WKP Abkürzungen: MEM - Speichersystem (memory) madr - Adressen, die an den Speicher angelegt werden. mindat - Daten, die aus dem Speicher gelesen werden. moutdat - Daten, die in den Speicher geschrieben werden rw und en - Steuersignale für den Speicher (read/write bzw. enable) PC 8 - Programmzähler ALU 9 - Rechenwerk, auch Operationswerk IR 10 - Befehlsregister AKKU - Akkumulator STW - Steuerwerk 8 Program Counter 9 Arithmetic Logical Unit 10 Instruction Register Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

35 Der Speicher I Der Speicher besitzt fünf verschiedene Anschlüsse: Adressen - Zum Speichern und Lesen von Befehlen/Daten müssen Adressen an den Speicher angelegt werden. Daten: Din - An diesen Anschluss werden die Daten angelegt die in den Speicher geschrieben werden. Daten: Dout - Von diesem Anschluss werden die Daten aus dem Speicher gelesen. Steuerinformationen (r/w - en) - Diese Anschlüsse dienen der Steuerung des Speichers, also z. B. Lesen und Schreiben. Adresse Mem /w r/w en Din Dout Abbildung: Speicher Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

36 Der Befehlssatz I Die folgende Tabelle zeigt einen Teil der Befehle des Modellrechners. Die Kodierung der Befehle wird auch als OP-CODE (Operation Code - kurz OPC) bezeichnet. Zur besseren Lesbarkeit wurden sogenannte symbolische Befehle (MNEMONICs) eingeführt. OPC I Befehl MNEMONIC Byte1 Byte2 0 0 lade Akku mit Wert LDA #C WERT 0 1 lade Akku mit dem Speicherinhalt von Adresse LDA N ADRESSE 1 X Akku bitweise negieren NOT x 2 0 Akku mit Wert verunden AND #C WERT 2 1 Akku mit dem Speicherinhalt von AND N ADRESSE Adresse verunden 4 0 Wert zum Akku addieren ADD #C WERT 4 1 Speicherinhalt von Adresse zum Akku addiere ADD N ADRESSE 5 X Akku nach rechts schieben SHR x Beispiel: Der Befehl LDA #C hat den OP-Code Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

37 Der Befehlssatz II Fortsetzung der Befehle 6 X Akku nach rechts schieben ASR x Vorzeichen nachziehen 7 X Akku nach links schieben SHL x 8 X Carrybit im Statusregister löschen CLRC x 9 X Carrybit im Statusregister setzen SETC x 10 X NOP, keine Operation NOP x 11 X Sprung zur Adresse Label BRA L LABEL 12 X Sprung zur Adresse Label falls BRZ L LABEL Z-Statusbit gesetzt ist 13 X Schreibe Inhalt des Akkus an Adresse STA N ADRESSE in den Speicher 14 X Schreibe Inhalt des Akkus OUT x in das Ausgaberegister Eine Beschreibung der von den Befehlen gesetzten Statusbits findet sich auf dem Prozessorhilfsblatt. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

38 Interpretation und Ausführung der Befehle - Funktionsweise des Steuerwerks RESET STATE0 PC<-0 Fetch_OPC IR<-MEM(PC) PC<-PC+1 Decode_OPC mit Operand ohne Operand Fetch1_Operand MDin<-MEM(PC) PC<-PC+1 exec_aluop Direktoperand Adresse Post_Decode Fetch2_Operand MDin<-MEM(MDin) Sprungbefehl Storebefehl Exec_Jump PC<-MDin else Exec_Store MEM(MDin)<-AKKU Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

39 Modellierung des Prozessors in Verilog HDL Viele verschiedene Möglichkeiten denkbar. Hier, ausgehend vom Strukturbild Instanzen von Steuerwerk Speicher ALU Demonstration/Besprechung des Beispiels Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

40 Beschreibung von Schaltwerken in Verilog HDL Schaltwerk mit drei Zuständen Ausgänge sind an Zustand gekoppelt Zustandsgraph Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

41 Beschreibung von Schaltwerken in Verilog HDL module state machine ( clk, in, reset, out ) ; input clk, in, r eset ; output [ 1 : 0 ] out ; reg [ 1 : 0 ] out ; reg [ 1 : 0 ] state ; parameter S0 = 0, S1 = 1, S2 = 2; ( s ta te ) begin case ( state ) S0 : out = 2 b01 ; S1 : out = 2 b10 ; S2 : out = 2 b11 ; default : out = 2 b00 ; endcase end ( posedge clk or posedge r eset ) begin i f ( r eset ) s tate <= S0 ; else case ( s tate ) S0 : s tate <= S1 ; S1 : i f ( in ) state <= S2 ; else state <= S1 ; S2 : i f ( in ) state <= S0 ; else s tate <= S1 ; endcase end endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

42 Beschreibung von Schaltwerken in Verilog HDL entspricht diese Implementierung der Trennung von kombinatorischer Logik und den Speichern/Registern? Blockschaltbild einer Zustandsmaschine Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

43 Ein Beispiel: Schiebeautomaten Schiebeschaltung Entnommen aus Verilog - Modellbildung für Synthese und Verifikation Funktion synchron zur steigenden Flanke des Taktes clk wird eine 1 relativ zu den drei Nullen in einem 4-Bit-Wort out(3:0) in Abhängigkeit vom Eingangssignal a zyklisch nach rechts (a=1) oder nach links (a=0) geschoben. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

44 Ein Beispiel: Zustandsgraphen für Moore-Schiebeautomaten Jeder Zustand enthält eine Kodierung Eine 1 am Eingang führt aus dem Zustand S1 in den Zustand S2, eine Null hingegen in den Zustand S0. Zustandsgraph Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

45 Ein Beispiel: Zustandsgraphen für Mealy-Schiebeautomaten Jeder Zustand enthält eine Kodierung Ausgaben hängen vom Eingang ab. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

46 Realisierung in Verilog HDL Um Zustandsgraphen als synchrone Moore- oder Mealy-Maschinen in Verilog HDL zu übersetzen, gibt es zwei Vorgehensweisen Explizite Beschreibung des Automaten 11 Implizite Beschreibung des Automaten 12 Beispiel: In der Übung ist z. B. ein Zähler vorgestellt worden. Achtung: Das oben vorgestellte Beispiel module state machine ist eine Mischform! 11 engl. explicit state machines 12 engl. implicit state machines Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

47 Realisierung in Verilog HDL Benötigte Komponenten? Zustandsregister, das den aktuellen Zustand der Maschine speichert ein Taktsignal Vorgaben für die Zustandsübergänge die Zuordnung der Zustände zu den Ausgangssignalen optional: eine Reset/Set-Spezifikation ist im Zustandsgraph nicht angegeben es wird angenommen, dass Zustand S0 der Startzustand ist Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

48 Beschreibung von Automaten in Verilog HDL - Moore module automat moore ( moore out, a, clk, r eset ) ; parameter S 0 = 4 b1000, S 1 = 4 b0100, S 2 = 4 b0010, S 3 = 4 b0001 ; output [ 3 : 0 ] moore out ; input a, clk, r e s et ; reg [ 3 : 0 ] state, n ext s tate ; assign moore out = state ; always@ ( posedge clk or posedge r eset ) i f ( r e s et==1) s ta te <=S 0 ; else begin s ta te <= n ext s tate ; end... Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

49 Beschreibung von Automaten in Verilog HDL - Moore... always@ ( state, a) begin case ( state ) S 0 : i f ( a==0) begin next s tate = S 3 ; end else i f ( a==1) begin next s tate = S 1 ; end S 1 : i f ( a==0) begin next s tate = S 0 ; end else i f ( a==1) begin next s tate = S 2 ; end S 2 : i f ( a==0) begin next s tate = S 1 ; end else i f ( a==1) begin next s tate = S 3 ; end S 3 : i f ( a==0) begin next s tate = S 2 ; end else i f ( a==1) begin next s tate = S 0 ; end default : begin next s tate = S 0 ; end endcase end endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

50 Beschreibung von Automaten in Verilog HDL - Moore Simulation zeigt das gewünschte Verhalten Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

51 Beschreibung von Automaten in Verilog HDL - Mealy module automat mealy ( mealy out, a, clk, r eset ) ; parameter S 0 = 4 b1000, S 1 = 4 b0100, S 2 = 4 b0010, S 3 = 4 b0001 ; output [ 3 : 0 ] mealy out ; input a, clk, r e s et ; reg [ 3 : 0 ] mealy out ; reg [ 3 : 0 ] state, n ext s tate ; always@ ( posedge clk or posedge r eset ) i f ( r e s et==1) s ta te <=S 0 ; else begin s ta te <= n ext s tate ; end... Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

52 Beschreibung von Automaten in Verilog HDL - Mealy always@ ( state, a) begin case ( state ) S 0 : i f ( a==0) begin next s tate = S 3 ; end else i f ( a==1) begin next s tate = S 1 ; end S 1 : i f ( a==0) begin next s tate = S 0 ; end else i f ( a==1) begin next s tate = S 2 ; end S 2 : i f ( a==0) begin next s tate = S 1 ; end else i f ( a==1) begin next s tate = S 3 ; end S 3 : i f ( a==0) begin next s tate = S 2 ; end else i f ( a==1) begin next s tate = S 0 ; end default : begin next s tate = S 0 ; end endcase case ( s tate ) S 0 : i f ( a==0) begin mealy out = 4 b0001 ; end else i f ( a==1) begin mealy out = 4 b0100 ; end S 1 : i f ( a==0) begin mealy out = 4 b1000 ; end else i f ( a==1) begin mealy out = 4 b0010 ; end S 2 : i f ( a==0) begin mealy out = 4 b0100 ; end else i f ( a==1) begin mealy out = 4 b0001 ; end S 3 : i f ( a==0) begin mealy out = 4 b0010 ; end else i f ( a==1) begin mealy out = 4 b1000 ; end default : begin mealy out = 4 b1000 ; end endcase end endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

53 Beschreibung von Automaten in Verilog HDL - Mealy Simulation zeigt das gewünschte Verhalten? Achtung: In dem Diagramm sind die Ausgaben, nicht die Zustände dargestellt. Beim Übergang vom Zustand S3 nach S2 wird die zwei ausgegeben. Mit der nächsten Taktflanke wird in den Zustand S2 gewechselt. Dann wird zunächst eine vier ausgegeben (Übergang S2 nach S1). a wird auf eins gesetzt, Folgezustand ist S3, Ausgabe ist eins. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

54 Weitere Beschreibungsmöglichlichkeiten von Automat in Verilog HDL Alle Zustandsänderungen in einem einzigen Prozess abgearbeitet. Der Automat wird damit kompakter geschrieben. Beschreibung von taktsynchronem Zusammenhang zwischen Eingangs- und Ausgangsgrößen Zustände und Zustandsübergangslogik werden zusammen in einem Block beschrieben. Das Verhalten des folgenden Automaten entspricht dem eines Moore-Automaten. Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

55 Beschreibung von Automaten in Verilog HDL module automat ( moore out, a, clk, r eset ) ; parameter S 0 = 4 b1000, S 1 = 4 b0100, S 2 = 4 b0010, S 3 = 4 b0001 ; output moore out ; input a, clk, r e s et ; reg [ 3 : 0 ] moore out ; always@ ( posedge clk or posedge r eset ) i f ( r e s et==1) moore out <=S 0 ; else begin case ( moore out ) S 0 : i f ( a==0) begin moore out <= S 3 ; end else i f ( a==1) begin moore out <= S 1 ; end S 1 : i f ( a==0) begin moore out <= S 0 ; end else i f ( a==1) begin moore out <= S 2 ; end S 2 : i f ( a==0) begin moore out <= S 1 ; end else i f ( a==1) begin moore out <= S 3 ; end S 3 : i f ( a==0) begin moore out <= S 2 ; end else i f ( a==1) begin moore out <= S 0 ; end default : begin moore out <= S 0 ; end endcase end endmodule Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

56 Beschreibung von Automaten in Verilog HDL Simulation zeigt das gewünschte Verhalten Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

57 Synthese von Schaltwerken - Moore Was ergibt die Synthese dieses Schaltwerks (Automaten)? Für den Moore-Automaten ergibt sich folgendes Bild Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

58 Synthese von Schaltwerken - Mealy Für den Mealy-Automaten ergibt sich folgendes Bild Hier wurde auch eine Umkodierung der Zustände vorgenommen Wolfgang Heenes (FG Rechnerarchitektur) Rechnerentwurf und Mikroprogrammierung 16. April / 58

Simulation und Synthese

Simulation und Synthese Simulation und Synthese Wolfgang Heenes FG Rechnerarchitektur 10. Juli 2009 Wolfgang Heenes (FG Rechnerarchitektur) Simulation und Synthese 10. Juli 2009 1 / 30 1 Simulation Motivation 2 Synthese von Schaltungen

Mehr

8. Aufgabenblatt mit Lösungsvorschlag

8. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 8. Aufgabenblatt mit Lösungsvorschlag 09.06.2010 Aufgabe 1: Realisierung des Modellrechners WKP Der in der Vorlesung vorgestellt

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 2. Vorlesung Dr.-Ing. Wolfgang Heenes 21. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Anwendung und Entwicklung

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 3. Vorlesung Dr.-Ing. Wolfgang Heenes 28. April 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Verilog HDL, Simulation und

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Modulare Grafische Programmierung (MGP) von FPGAs

Modulare Grafische Programmierung (MGP) von FPGAs Modulare Grafische Programmierung (MGP) von FPGAs Linna Lu Technische Universität Ilmenau Projektseminar KBSE Projektseminar KBSE Ilmenau 29.06.2005 Linna Lu Projektseminar Softwaresysteme/Prozessinformatik

Mehr

Persönliches Adressbuch

Persönliches Adressbuch Persönliches Adressbuch Persönliches Adressbuch Seite 1 Persönliches Adressbuch Seite 2 Inhaltsverzeichnis 1. WICHTIGE INFORMATIONEN ZUR BEDIENUNG VON CUMULUS 4 2. ALLGEMEINE INFORMATIONEN ZUM PERSÖNLICHEN

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Wo finde ich die Software? - Jedem ProLiant Server liegt eine Management CD bei. - Über die Internetseite http://www.hp.

Wo finde ich die Software? - Jedem ProLiant Server liegt eine Management CD bei. - Über die Internetseite http://www.hp. Erfahrungen mit dem Insight Manager von HP Dipl. Ing. Elektrotechnik (FH) - Automatisierungs- / Regelungstechnik DV-Spezialist Landesbank Rheinland-Pfalz Abteilung 2-351 Große Bleiche 54-56 55098 Mainz

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Local Control Network Technische Dokumentation

Local Control Network Technische Dokumentation Steuerung von Hifi-Anlagen mit der LCN-GVS Häufig wird der Wunsch geäußert, eine Hi-Fi-Anlage in die Steuerung der LCN-GVS einzubinden. Auch das ist realisierbar. Für die hier gezeigte Lösung müssen wenige

Mehr

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1

CMS.R. Bedienungsanleitung. Modul Cron. Copyright 10.09.2009. www.sruttloff.de CMS.R. - 1 - Revision 1 CMS.R. Bedienungsanleitung Modul Cron Revision 1 Copyright 10.09.2009 www.sruttloff.de CMS.R. - 1 - WOZU CRON...3 VERWENDUNG...3 EINSTELLUNGEN...5 TASK ERSTELLEN / BEARBEITEN...6 RECHTE...7 EREIGNISSE...7

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

S7-Hantierungsbausteine für R355, R6000 und R2700

S7-Hantierungsbausteine für R355, R6000 und R2700 S7-Hantierungsbausteine für R355, R6000 und R2700 1. FB90, Zyklus_R/W Dieser Baustein dient zur zentralen Kommunikation zwischen Anwenderprogramm und dem Modul R355 sowie den Geräten R6000 und R2700 über

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Hilfe zur Urlaubsplanung und Zeiterfassung

Hilfe zur Urlaubsplanung und Zeiterfassung Hilfe zur Urlaubsplanung und Zeiterfassung Urlaubs- und Arbeitsplanung: Mit der Urlaubs- und Arbeitsplanung kann jeder Mitarbeiter in Coffee seine Zeiten eintragen. Die Eintragung kann mit dem Status anfragen,

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

NODELOCKED LIZENZ generieren (ab ST4)

NODELOCKED LIZENZ generieren (ab ST4) NODELOCKED LIZENZ generieren () Besuchen Sie folgende Webseite support.ugs.com/global/de ( ohne www oder http:// ) Klicken Sie auf Lizenz Verwaltung und dann auf aktuelle Lizenz 1 1. Geben Sie Ihren Webkey

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Kleines Handbuch zur Fotogalerie der Pixel AG

Kleines Handbuch zur Fotogalerie der Pixel AG 1 1. Anmelden an der Galerie Um mit der Galerie arbeiten zu können muss man sich zuerst anmelden. Aufrufen der Galerie entweder über die Homepage (www.pixel-ag-bottwartal.de) oder über den direkten Link

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

11. Aufgabenblatt 30.06.2010

11. Aufgabenblatt 30.06.2010 Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 11. Aufgabenblatt 30.06.2010 Aufgabe 1: Einführung in MatLab/Simulink/Stateflow MatLab 1 ist ein Programm zum wissenschaftlichen,

Mehr

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70

Thermoguard. Thermoguard CIM Custom Integration Module Version 2.70 Thermoguard Thermoguard CIM Custom Integration Module Version 2.70 Inhalt - Einleitung... 3 - Voraussetzungen... 3 - Aktivierung und Funktion der Schnittstelle... 3 - Parameter... 4 - NLS-Einfluss... 4

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

:: Anleitung Hosting Server 1cloud.ch ::

:: Anleitung Hosting Server 1cloud.ch :: :: one source ag :: Technopark Luzern :: D4 Platz 4 :: CH-6039 Root-Längenbold LU :: :: Fon +41 41 451 01 11 :: Fax +41 41 451 01 09 :: info@one-source.ch :: www.one-source.ch :: :: Anleitung Hosting Server

Mehr

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden.

ACHTUNG: Es können gpx-dateien und mit dem GP7 aufgezeichnete trc-dateien umgewandelt werden. Track in Route umwandeln ACHTUNG: Ein Track kann nur dann in eine Route umgewandelt werden, wenn der Track auf Wegen gefahren wurde. Ein Querfeldein-Track kann nicht in eine Route umgewandelt werden, da

Mehr

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

E-Mail-Inhalte an cobra übergeben

E-Mail-Inhalte an cobra übergeben E-Mail-Inhalte an cobra übergeben Sie bieten ihren potentiellen oder schon bestehenden Kunden über ihre Website die Möglichkeit, per Bestellformular verschiedene Infomaterialien in Papierform abzurufen?

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Handbuch B4000+ Preset Manager

Handbuch B4000+ Preset Manager Handbuch B4000+ Preset Manager B4000+ authentic organ modeller Version 0.6 FERROFISH advanced audio applications Einleitung Mit der Software B4000+ Preset Manager können Sie Ihre in der B4000+ erstellten

Mehr

Comtarsia SignOn Familie

Comtarsia SignOn Familie Comtarsia SignOn Familie Handbuch zur RSA Verschlüsselung September 2005 Comtarsia SignOn Agent for Linux 2003 Seite 1/10 Inhaltsverzeichnis 1. RSA Verschlüsselung... 3 1.1 Einführung... 3 1.2 RSA in Verbindung

Mehr

Prozessor HC680 fiktiv

Prozessor HC680 fiktiv Prozessor HC680 fiktiv Dokumentation der Simulation Die Simulation umfasst die Struktur und Funktionalität des Prozessors und wichtiger Baugruppen des Systems. Dabei werden in einem Simulationsfenster

Mehr

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754.

Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. Aufgabe 1) Die folgenden Umwandlungen/Berechnungen beziehen sich auf das 32-Bit Single-Precision Format nach IEEE-754. a) Stellen Sie die Zahl 7,625 in folgender Tabelle dar! b) Wie werden denormalisierte

Mehr

ec@ros2-installer ecaros2 Installer procar informatik AG 1 Stand: FS 09/2012 Eschenweg 7 64331 Weiterstadt

ec@ros2-installer ecaros2 Installer procar informatik AG 1 Stand: FS 09/2012 Eschenweg 7 64331 Weiterstadt ecaros2 Installer procar informatik AG 1 Stand: FS 09/2012 Inhaltsverzeichnis 1 Download des ecaros2-installer...3 2 Aufruf des ecaros2-installer...3 2.1 Konsolen-Fenster (Windows)...3 2.2 Konsolen-Fenster

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Bitte unbedingt lesen! Update-Dokumentation

Bitte unbedingt lesen! Update-Dokumentation Bitte unbedingt lesen! Update-Dokumentation Enthaltene Programmänderungen DMP Assist Version 4.88 Inhaltsverzeichnis 1 Systemvoraussetzungen... 2 2 Datensicherung vor dem Update... 3 3 Die Installation

Mehr

Inbetriebnahme Profinet mit Engineer. Inhaltsverzeichnis. Verwendete Komponenten im Beispiel:

Inbetriebnahme Profinet mit Engineer. Inhaltsverzeichnis. Verwendete Komponenten im Beispiel: Verwendete Komponenten im Beispiel: Siemens: CPU: 416F-3 PN/DP FW 5.2 STEP7: 5.4 + SP4 Primary Setup Tool: 4.0 Lenze: 9400: Highline V 7 TA: Stellantrieb Drehzahl FW 3.0.3 Profinet Modul 1.30 MM330 und

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

LabTech RMM. Integration von Teamviewer. Vertraulich nur für den internen Gebrauch

LabTech RMM. Integration von Teamviewer. Vertraulich nur für den internen Gebrauch Integration von Teamviewer Vertraulich nur für den internen Gebrauch Inhaltsverzeichnis Integration von Teamviewer in LabTech RMM 3 Schritt 1: Speicherung von Teamviewer-ID und -Kennwort 3 Schritt 2: Starten

Mehr

HP Software Patch- und Version-Notification

HP Software Patch- und Version-Notification HP Software verschickt automatische individuelle Informationen per E-Mail über neue Patches und Versionen. Die Voraussetzung für diesen Service ist, dass man sich persönlich angemeldet hat. Patches werden

Mehr

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI

TTS - TinyTimeSystem. Unterrichtsprojekt BIBI TTS - TinyTimeSystem Unterrichtsprojekt BIBI Mathias Metzler, Philipp Winder, Viktor Sohm 28.01.2008 TinyTimeSystem Inhaltsverzeichnis Problemstellung... 2 Lösungsvorschlag... 2 Punkte die unser Tool erfüllen

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

How to do? Projekte - Zeiterfassung

How to do? Projekte - Zeiterfassung How to do? Projekte - Zeiterfassung Stand: Version 4.0.1, 18.03.2009 1. EINLEITUNG...3 2. PROJEKTE UND STAMMDATEN...4 2.1 Projekte... 4 2.2 Projektmitarbeiter... 5 2.3 Tätigkeiten... 6 2.4 Unterprojekte...

Mehr

Realisierung von UMCM über den IBH Link UA mit Simatic S5 und S7 Steuerungen

Realisierung von UMCM über den IBH Link UA mit Simatic S5 und S7 Steuerungen Realisierung von UMCM über den IBH Link UA mit Simatic S5 und S7 Steuerungen Es gibt 2 Möglichkeiten zur Realisierung von UMCM über OPC UA : 1. UMCM in der Maschinensteuerung SPS Die UMCM Struktur wird

Mehr

Support-Tipp Mai 2010 - Release Management in Altium Designer

Support-Tipp Mai 2010 - Release Management in Altium Designer Support-Tipp Mai 2010 - Release Management in Altium Designer Mai 2010 Frage: Welche Aufgaben hat das Release Management und wie unterstützt Altium Designer diesen Prozess? Zusammenfassung: Das Glück eines

Mehr

Task: Nmap Skripte ausführen

Task: Nmap Skripte ausführen Task: Nmap Skripte ausführen Inhalt Einfache Netzwerkscans mit NSE Ausführen des Scans Anpassung der Parameter Einleitung Copyright 2009-2015 Greenbone Networks GmbH Herkunft und aktuellste Version dieses

Mehr

Mikrocomputertechnik. Einadressmaschine

Mikrocomputertechnik. Einadressmaschine technik Einadressmaschine Vorlesung 2. Mikroprozessoren Einführung Entwicklungsgeschichte Mikroprozessor als universeller Baustein Struktur Architektur mit Akku ( Nerdi) FH Augsburg, Fakultät für Elektrotechnik

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

HowTo: Einrichtung & Management von APs mittels des DWC-1000

HowTo: Einrichtung & Management von APs mittels des DWC-1000 HowTo: Einrichtung & Management von APs mittels des DWC-1000 [Voraussetzungen] 1. DWC-1000 mit Firmware Version: 4.1.0.2 und höher 2. Kompatibler AP mit aktueller Firmware 4.1.0.8 und höher (DWL-8600AP,

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

VB.net Programmierung und Beispielprogramm für GSV

VB.net Programmierung und Beispielprogramm für GSV VB.net Programmierung und Beispielprogramm für GSV Dokumentation Stand vom 26.05.2011 Tel +49 (0)3302 78620 60, Fax +49 (0)3302 78620 69, info@me-systeme.de, www.me-systeme.de 1 Inhaltsverzeichnis Vorwort...2

Mehr

Programmierung für Mathematik (HS13)

Programmierung für Mathematik (HS13) software evolution & architecture lab Programmierung für Mathematik (HS13) Übung 5 1 Aufgabe: Eclipse IDE 1.1 Lernziele 1. Die Entwicklungsumgebung Eclipse einrichten. 2. Eclipse kennen lernen und mit

Mehr

Der Toy Rechner Ein einfacher Mikrorechner

Der Toy Rechner Ein einfacher Mikrorechner Der Toy Rechner Ein einfacher Mikrorechner Dr. Gerald Heim Haid-und-Neu-Str. 10-14 76131 Karlsruhe 16. Mai 1995 Allgemeine Informationen 2 Quelle: Phil Kopmann, Microcoded versus Hard-Wired Logic, Byte

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

EXPANDIT. ExpandIT Client Control Kurzanleitung. utilities. be prepared speed up go mobile. Stand 14.11.07

EXPANDIT. ExpandIT Client Control Kurzanleitung. utilities. be prepared speed up go mobile. Stand 14.11.07 ExpandIT Client Control Kurzanleitung Stand 14.11.07 Inhaltsverzeichnis ExpandIT Client Control 3 Installationshinweise 3 System-Voraussetzungen 3 Installation 3 Programm starten 6 Programm konfigurieren

Mehr

Datensicherung und Wiederherstellung

Datensicherung und Wiederherstellung Dokumentation Datensicherung und Wiederherstellung Versionsverzeichnis Version: Datum: Revisionsgrund: Version 1.0 Januar 2011 Erste Ausgabe www.babe-informatik.ch 1/7 Datensicherung von Voraussetzung

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

ein Versandsystem das immer passt HVS32 Monitoring

ein Versandsystem das immer passt HVS32 Monitoring ein Versandsystem das immer passt HVS32 Monitoring Inhalt 1 Allgemein... 2 2 Installation... 3 3 Konfiguration... 4 3.1 Tagesabschlüsse (Scheduler)... 4 3.1.1 Neuer Tagesabschluss... 4 3.1.2 Tagesabschluss

Mehr

OPERATIONEN AUF EINER DATENBANK

OPERATIONEN AUF EINER DATENBANK Einführung 1 OPERATIONEN AUF EINER DATENBANK Ein Benutzer stellt eine Anfrage: Die Benutzer einer Datenbank können meist sowohl interaktiv als auch über Anwendungen Anfragen an eine Datenbank stellen:

Mehr

GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY

GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY GEORG.NET Anbindung an Ihr ACTIVE-DIRECTORY Vorteile der Verwendung eines ACTIVE-DIRECTORY Automatische GEORG Anmeldung über bereits erfolgte Anmeldung am Betriebssystem o Sie können sich jederzeit als

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Lizenzen auschecken. Was ist zu tun?

Lizenzen auschecken. Was ist zu tun? Use case Lizenzen auschecken Ihr Unternehmen hat eine Netzwerk-Commuterlizenz mit beispielsweise 4 Lizenzen. Am Freitag wollen Sie Ihren Laptop mit nach Hause nehmen, um dort am Wochenende weiter zu arbeiten.

Mehr

SharePoint Demonstration

SharePoint Demonstration SharePoint Demonstration Was zeigt die Demonstration? Diese Demonstration soll den modernen Zugriff auf Daten und Informationen veranschaulichen und zeigen welche Vorteile sich dadurch in der Zusammenarbeit

Mehr

Robot Karol für Delphi

Robot Karol für Delphi Robot Karol für Delphi Reinhard Nitzsche, OSZ Handel I Version 0.1 vom 24. Januar 2003 Zusammenfassung Nach der Einführung in die (variablenfreie) Programmierung mit Robot Karol von Freiberger und Krško

Mehr

COSA. Portal Client Installation JAVA J2SE / JRE Version 1.4.2_09, Stand 01.08.2005-08-16. Copyright

COSA. Portal Client Installation JAVA J2SE / JRE Version 1.4.2_09, Stand 01.08.2005-08-16. Copyright Portal Client Installation JAVA J2SE / JRE Version 1.4.2_09, Stand 01.08.2005-08-16 Änderungen in Dokumentation und Software sind vorbehalten! Copyright Copyright 2005 COSA GmbH Alle Rechte vorbehalten.

Mehr

Wie richten Sie Ihr Web Paket bei Netpage24 ein

Wie richten Sie Ihr Web Paket bei Netpage24 ein Wie richten Sie Ihr Web Paket bei Netpage24 ein Eine kostenlose ebook Anleitung von Netpage24 - Webseite Information 1 E-Mail Bestätigung... 3 2 Ticketsystem... 3 3 FTP Konto anlegen... 4 4 Datenbank anlegen...

Mehr

Prozessbewertung und -verbesserung nach ITIL im Kontext des betrieblichen Informationsmanagements. von Stephanie Wilke am 14.08.08

Prozessbewertung und -verbesserung nach ITIL im Kontext des betrieblichen Informationsmanagements. von Stephanie Wilke am 14.08.08 Prozessbewertung und -verbesserung nach ITIL im Kontext des betrieblichen Informationsmanagements von Stephanie Wilke am 14.08.08 Überblick Einleitung Was ist ITIL? Gegenüberstellung der Prozesse Neuer

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

GDI-Business-Line 3.x Ticketverwaltung

GDI-Business-Line 3.x Ticketverwaltung Ticketverwaltung Seite 1/6 GDI-Business-Line 3.x Ticketverwaltung Kurzdokumentation Stand: 04. Januar 2012 3.2.0.200 Ticketverwaltung Seite 2/6 Einführung...3 Lizenz...3 Funktionsweise...3 Vergabe der

Mehr

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen

Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13. Teil IV: Programmieren an Beispielen Programmierung von ATMEL AVR Mikroprozessoren am Beispiel des ATtiny13 Eine Einführung in Aufbau, Funktionsweise, Programmierung und Nutzen von Mikroprozessoren Teil IV: Programmieren an Beispielen Die

Mehr

BANKETTprofi Telefonschnittstelle

BANKETTprofi Telefonschnittstelle BANKETTprofi Telefonschnittstelle Aufbau der BANKETTprofi Telefonschnittstelle BANKETTprofi 800 Anwendung Im BANKETTprofi wird eine Rufnummer angewählt BANKETTprofi TAPI-Plugin Dieser Befehl wird vom TAPI-Plugin

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Proxy. Krishna Tateneni Übersetzer: Stefan Winter

Proxy. Krishna Tateneni Übersetzer: Stefan Winter Krishna Tateneni Übersetzer: Stefan Winter 2 Inhaltsverzeichnis 1 Proxy-Server 4 1.1 Einführung.......................................... 4 1.2 Benutzung.......................................... 4 3 1

Mehr

"Memory Stick" zur Programmierung der comfort 8+ Lichtsteuranlage mit PC Software "scenes editor"

Memory Stick zur Programmierung der comfort 8+ Lichtsteuranlage mit PC Software scenes editor comfort 8+ IRPC "Memory Stick" zur Programmierung der comfort 8+ Lichtsteuranlage mit PC Software "scenes editor" 1: Programmstart, Einstellungen 1.1 Zuerst die Software der beiliegenden CD-ROM auf einem

Mehr

Funktionserweiterungen, behobene Funktionseinschränkungen und Funktionseinschränkungen, EASY Starter V1.5

Funktionserweiterungen, behobene Funktionseinschränkungen und Funktionseinschränkungen, EASY Starter V1.5 Funktionserweiterungen, behobene Funktionseinschränkungen und Funktionseinschränkungen, Inhaltsverzeichnis 1. Funktionserweiterungen... 2 1.1. Produktidentifikation... 2 1.1.1. Lauffähig unter Windows

Mehr

TeamSpeak3 Einrichten

TeamSpeak3 Einrichten TeamSpeak3 Einrichten Version 1.0.3 24. April 2012 StreamPlus UG Es ist untersagt dieses Dokument ohne eine schriftliche Genehmigung der StreamPlus UG vollständig oder auszugsweise zu reproduzieren, vervielfältigen

Mehr

Tapps mit XP-Mode unter Windows 7 64 bit (V2.0)

Tapps mit XP-Mode unter Windows 7 64 bit (V2.0) Tapps mit XP-Mode unter Windows 7 64 bit (V2.0) 1 Einleitung... 2 2 Download und Installation... 3 2.1 Installation von WindowsXPMode_de-de.exe... 4 2.2 Installation von Windows6.1-KB958559-x64.msu...

Mehr

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7

Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Einrichtung des Cisco VPN Clients (IPSEC) in Windows7 Diese Verbindung muss einmalig eingerichtet werden und wird benötigt, um den Zugriff vom privaten Rechner oder der Workstation im Home Office über

Mehr

Kurzanleitung. Nutzung des Online Office von 1&1. Zusammengestellt:

Kurzanleitung. Nutzung des Online Office von 1&1. Zusammengestellt: Kurzanleitung Nutzung des Online Office von 1&1 Zusammengestellt: Norbert Bielich www.bieweb.de Stand: 01.05.2010 Inhalt 1. Einführung:...3 2. Wie komme ich zu meinem Online-Ordner?...3 3. Freigabe von

Mehr

3 Windows als Storage-Zentrale

3 Windows als Storage-Zentrale 3 Windows als Storage-Zentrale Windows als zentrale Datenspeichereinheit punktet gegenüber anderen Lösungen vor allem bei der Integration in vorhandene Unternehmensnetze sowie bei der Administration. Dabei

Mehr

Praktikum Mikrocomputertechnik

Praktikum Mikrocomputertechnik TPU (Time Processor Unit) Die TPU ist ein Coprozessor für Timingaufgaben, sie arbeitet parallel zum Hauptprozessor (Host), um zum Beispiel PWM-Signale zu generieren. Die TPU besitzt eine Reihe festprogrammierter

Mehr