Systembeschreibungssprachen

Größe: px
Ab Seite anzeigen:

Download "Systembeschreibungssprachen"

Transkript

1 Systembeschreibungssprachen Dr. Jürgen Ruf Organisation Vorlesung Donnerstags 15:45 bis 17:15 Kleiner Hörsaal, Sand 6/7 Sprechzeiten: nach Vereinbarung Tel: 07071/ Raum C221, Sand 14, 1OG Voraussetzungen Vordiplom Prüfung: mündlich, in der TI 1

2 Inhalt Motivation und Einleitung Verilog Beschreibung von Hardware mit Verilog Hardwaresimulation/-verifikation SystemC Beschreibung von SoC-Entwürfen mit SystemC Systempartitionierung Interfacesynthese Esterel Beschreibung synchroner Systeme mit Esterel Hardwaresynthese von Esterel Inhalt Optionale Themen Beschreibung verteileter Systeme mit SDL Einsatz von UML zur Systemspezifikation Softwaresynthese von Esterel 2

3 Einführung Motivation Klassifikation von Systemen Modellbildung Systementwurf Systembeschreibungssprachen Motivation Warum muß man auf Systemebene entwerfen? designgap Die Größe von Systemen (HW/SW) übersteigt die Fähigkeiten im Entwurf auf niedrigen Abstraktionsebenen time-to-market Neue Produkte müssen in immer kürzeren Zeitfenstern auf den Markt (z.b. Handys) Sicherheit, Zuverlässigkeit Systeme werden in sicherheitskritischen Anwendungen eingesetzt 3

4 Design-/Verification Gap Mio Gatter ability to verify ability to design available silicon design gap verification gap Entwurf großer Systeme Partitionierung in HW- und SW-Blöcke Frühzeitige Exploration des Entwurfsraumes durch Kostenfunktionen automatische Übersetzung in niedrigere Abstraktionsebenen (HW-/SW-Synthese) Einsatz von Standardkomponenten sowohl in HW (IP-cores) als auch in SW 4

5 Time-to-market % Gewinnminderung 6 Monate zu spät auf dem Markt Produktkosten 80% zu hoch Entwicklungskosten 50% zu hoch Verringerung der Entwurfszeit- und Kosten finden von Spezifikationsfehlern in frühen Phasen (Vermeidung von turn-arounds) rapid prototyping frühzeitige Abschätzung von kritischen Designparametern wie z.b. Durchsatz, Leistungsaufnahme, Antwortzeiten, Designund Produktkosten 5

6 Sicherheitskritische Anwendungen Medizintechnik Fahrzeugtechnik (drive-by-wire) Flug- und Raumfahrt (fly-by-wire) PA-Anlagen und Kraftwerke... Minimierung der Spezifikations- und Entwurfsfehler Funktionale Simulation critical event scheduling Logiksimulation cycle-based simulation formale Verifikation Modellprüfung Äquivalenzbeweis Theorembeweis Hardwareemulation 6

7 Stand der Technik im Systementwurf Hardware Hardware wird heute in der Regel als Programm in einer Hardwarebeschreibungssprache wie z.b. VHDL oder Verilog entworfen teilweise automatische Umsetzung auf niedrigere Anstraktionsebenen durch Entwurfswerkzeuge (High-Level-Synthese) Ergebnisse sind annähernd so gut wie manuell erstellte Entwürfe Stand der Technik im Systementwurf Software Software wird mit modernen Programmiersprachen wie C++, Java, etc. meist objektorientiert beschrieben Einsatz von CASE-Tools die Umsetzung auf Maschinencode nehmen Übersetzer sehr effizient vor direkte Implementierung in Maschinencode lohnt sich kaum mehr 7

8 Stand der Technik im Systementwurf Homogener Systementwurf wird gut beherrscht Problematisch ist jedoch der Entwurf heterogener Systeme, d.h. von Systemen, welche sowohl aus Hard- als aus Software bestehen solche Systeme treten aber immer häufiger auf... Eingebettete Systeme treten immer häufiger auf laut New York Times kommt der Durchschnittsamerikaner täglich mit ca. 60 Mikroprozessoren in Berührung, davon sind lediglich 1-2 in PCs neue Kraftfahrzeuge enthalten immer mehr eingebettete Systeme: Beispiel Mercedes bis zu 30 Mikroprozessoren 8

9 Zusammenfassung Systeme müssen auf hohen Abstraktionsebenen entworfen werden um Design-gap zu überwinden um mittels automatischer Übersetzung schnell zu fertigen Produkten zu kommen um möglichst früh fehler zu finden Schwierigkeit: Heterogenität Hardware/Software Analog/Digital Deshalb braucht man Systembeschreibungssprachen Einführung Motivation Klassifikation von Systemen Modellbildung Systementwurf Systembeschreibungssprachen 9

10 Klassifikation von Systemen Nach ihrer Implementierung Hardware, Software nach ihrem Kommunikationsverhalten isoliert, interaktiv, reaktiv nach Ihrer Archtitektur monolithisch, hierarchisch, verteilt Nach ihrem Zeitverhalten synchron, asynchron Implementierung Hardware ASIC (aplication specific integrated circuit) FPGA (field programmable gate array) 10

11 Implementierung Software auf Mikrocontrollern Digitalen Signalprozessoren auf Mikroprozessoren Implementierung Heterogene Systeme eingebettete Systeme Umgebendes System (Mechanik, Hydraulik, Elektronik) Analoge HW Sensoren A/D-Wandl. Software Digitale Hardware Analoge HW Aktuatoren D/A-Wandl. System-on-Chip ASICs analog digital DSPs Mikrokontroller Speicher... 11

12 Kommunikationsverhalten Isoliert Eingabe System Ausg. Interaktiv/reaktiv Eingabe System Zustand 1 Ausg. Eingabe System Zustand 2 Ausg. t = t 0 t = t 1 t = t 2 Interaktive vs. Reaktive Systeme interaktive Systeme: laufen kontinuierlich, fordern den Benutzer zur Interaktion auf reaktive Systeme: laufen kontinuierlich, müssen zu jedem Zeitpunkt auf Interaktion der Umgebung reagieren können Echtzeitanforderungen! harte Echtzeitanforderungen sind für die Funktion notwendig weiche Echtzeitanforderungen erhöhen den Komfort 12

13 Architektur monolithisch Gatternetzlisten, Transistornetzlisten hierarchisch Register-Transfer-Beschreibungen prozedurale- und objektorientierte Programme Schichtenarchitektur Kommunikationssysteme virtuelle Maschinen verteilte Systeme Client/Server-Systeme Multiprozessor-Systeme Zeitverhalten Synchron alle Systemkomponenten arbeiten mit einem gemeinsamen Takt Asynchron jede Komponente arbeitet unabhängig von allen anderen Komponenten Synchronisation nur zu bestimmten Zeiten mit bestimmten Konstrukten, z.b. Handshake in Hardware Semaphore in Software 13

14 Zeitverhalten Mischformen kommen häufig vor z.b. jede Komponente hat ihren eigenen Takt mehrere Taktsignale pro Komponente Komponenten ohne Takt, z.b. asynchrone bzw. analoge Hardware... Einführung Motivation Klassifikation von Systemen Modellbildung Systementwurf Systembeschreibungssprachen 14

15 Modellbildung Beim Systementwurf arbeitet man immer mit Modellen der Realität Eigenschaften von Modellen Beschreibung der gewünschten Funktionalität Abstraktion von unwichtigen Details Eindeutigkeit (formale Semantik) leicht verständlich leicht veränderbar Jürgen Ruf Systembeschreibungssprachen WS 02/03 Bekannte Modelle Petri Netze endliche Automaten state charts Datenflußgraphen Kontrollflußgraphen KomponentenVerbindungsdiagramme Klassendiagramme Sequenzdiagramme Jürgen Ruf Systembeschreibungssprachen WS 02/03 15

16 Zusammenhang Für den Entwurf muß das System modelliert werden Dies geschieht in einer Systembeschreibungssprache Systemmodell Systembeschreibungssprache Entwurf Zusammenhang Systemmodell Systembeschreibung Simulation Formale Verifikation HW-Synthese HW/SW Partitionierung... Timing Analyse Logikoptimierung 16

17 Einführung Motivation Klassifikation von Systemen Modellbildung Systementwurf Systembeschreibungssprachen Systementwurf - Abstraktionsebenen Systemebene Hochsprache Asemblersprache Maschinensprache Algorithmische Ebene Register-Transfer-Ebene Gatter-Ebene Transistor-Ebene Layout-Ebene 17

18 Systementwurf Ziel des Entwurfsprozesses Beschreibungen von einer hohen Abstraktionsebene in eine niedere Abstraktionsebene zu transformieren durch Verfeinerung unter Beibehaltung der Funktionalität (eventuell auch des Zeitverhaltens) Verifikation/Validation der Beschreibung auf den unterschiedlichen Ebenen module1 if (a<10)... ALU & & module2 var x:int... 1 MUX Systementwurf in der Realität Systemebene Hochsprache Asemblersprache Maschinensprache Algorithmische Ebene Register-Transfer-Ebene Gatter-Ebene Transistor-Ebene Layout-Ebene 18

19 Einführung Motivation Klassifikation von Systemen Modellbildung Systementwurf Systembeschreibungssprachen Was macht eine Systembeschreibungssprache aus Modularisierung Systeme bestehen aus vielen Teilkomponenten... Struktur (Hierarchie)... die strukturell angeordnet sind,... Parallelität... die parallel arbeiten,... Zeitmodell... die Zeit verbrauchen... Kommunikation... und die miteinander kommunizieren. 19

20 Abstraktionsebene verschiedener Sprachen Systemebene Algorithmen Register-Transfer Gatter BLIF EDIF Verilog VHDL Esterel SDL SystemC Transistor Layout Spice Verilog Modularisierung Schlüsselwort: module Struktur/Hierarchie Instantiierung von Submodulen Strukturelle Verschaltung von Modulen durch Drähte Parallelität jedes Modul arbeitet parallel außerdem innerhalb von Modulen: fork... join Zeitmodell (ereignis-)diskretes Zeitmodell asynchrone Ausführung Kommunikation Signale, Events (input, output, wire, event,...) 20

21 SystemC Modularisierung Schlüsselwort: SC_MODULE Struktur/Hierarchie Instantiierung von Submodulen mit new Strukturelle Verschaltung von Modulen Parallelität jedes Modul kann mehrere statische Threads haben: SC_THREAD, SC_CTHREAD, SC_METHOD Zeitmodell (ereignis-)diskretes Zeitmodell asynchrone Ausführung Kommunikation Kanäle und Interfaces, z.b. sc_signal, sc_handshake,... Esterel Modularisierung Schlüsselwort: module Struktur/Hierarchie dynamische Instantiierung von Threads/Modulen durch run Parallelität Ausführung paralleler Aktivitäten: act1 act2 Zeitmodell diskretes Zeitmodell synchrone Ausführung aller aktiven Threads Kommunikation Signale (input, output, signal) 21

22 SDL (specification and description language) Modularisierung Blöcke und Prozesse Struktur/Hierarchie Blöcke bestehen aus Unterblöcken und Prozessen Parallelität jeder Prozeß arbeitet parallel dynamisches Erzeugen von Prozessen Zeitmodell diskretes Zeitmodell, asynchrone Ausführung Kommunikation Kanäle (Eventqueues) 22

Vortrag zum Hauptseminar Hardware/Software Co-Design

Vortrag zum Hauptseminar Hardware/Software Co-Design Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zum Hauptseminar Hardware/Software Co-Design Robert Mißbach Dresden, 02.07.2008

Mehr

Systemtheorie 1. Einführung Systemtheorie 1 Formale Systeme 1 # WS 2006/2007 Armin Biere JKU Linz Revision: 1.4

Systemtheorie 1. Einführung Systemtheorie 1 Formale Systeme 1 # WS 2006/2007 Armin Biere JKU Linz Revision: 1.4 Einführung intro 1 Grobklassifizierung r Methoden in der Informatik intro 2 Systemtheorie 1 Systeme 1 #342234 http://fmv.jku.at/fs1 WS 2006/2007 Johannes Kepler Universität Linz, Österreich Univ. Prof.

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Hardware/Software-Codesign Kapitel 1 - Einführung M. Schölzel Entwicklungsgeschichte Job User User Ergebnis Mainframes / Großrechner Großrechner Desktops Sensoren Physisches System Prozessrechner Zuverlässigkeit

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Entwurfsablauf. Entwurfsmethodik. Beispiel Wasserfall-Modell. Beispiel Wasserfall-Modell. Prozess des Entwurfs

Entwurfsablauf. Entwurfsmethodik. Beispiel Wasserfall-Modell. Beispiel Wasserfall-Modell. Prozess des Entwurfs Komplexe Systeme Entwurfskriterien Eingebettete Systeme - Entwurfsmethodik - Verlustleistung Programmspeicher Zuverlässigkeit Datenspeicher Lothar Thiele Verarbeitungsleistung Gewicht Wiederverwendbarkeit

Mehr

HW/SW CODESIGN. Echtzeitverhalten. Mehmet Ozgan 0526530. 17. November 2015

HW/SW CODESIGN. Echtzeitverhalten. Mehmet Ozgan 0526530. 17. November 2015 HW/SW CODESIGN Echtzeitverhalten 17. November 2015 Mehmet Ozgan 0526530 ÜBERBLICK 1. Echtzeitsysteme 2. Hardware im Zeitbereich 3. Software im Zeitbereich 2 ECHTZEITSYSTEME REAL-TIME SYSTEM Ein Echtzeitsystem

Mehr

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (2) Architektur des Haswell- Prozessors (aus c t) Einführung

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Partitionierung komplexer heterogener Systeme

Partitionierung komplexer heterogener Systeme Berichte aus der Elektrotechnik Thomas Hollstein Entwurf und interaktive Hardware-/Software- Partitionierung komplexer heterogener Systeme D17(Diss.TU Darmstadt) Shaker Verlag Aachen 2001 Inhaltsverzeichnis

Mehr

Rechnergestützter VLSI-Entwurf

Rechnergestützter VLSI-Entwurf Schaltungssynthese Dipl.-Ing. e-mail: rgerndt@iam.de Seite SYN/1 Motivation Vereinfachung des Systementwurfes Weniger Fehler durch abstrakte Beschreibung Portierbarkeit der Schaltung (PLD, CPLD, FPGA,

Mehr

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar

Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Entwicklung integrierter HW/SW-Systeme Integrierte Hard- und Softwaresysteme 2 Seminar Jorge Meza jorge.meza@tu-ilmenau.de Zusebau R2082, Tel: -4128 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated

Mehr

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1 Musterlösung 3 Mikroprozessor & Eingebettete Systeme 1 WS214/215 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tief ergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg

Mehr

Motivation. Eingebettetes System: Aufgabe:

Motivation. Eingebettetes System: Aufgabe: Motivation n Aufgabe: n Eingebettetes System: Computersystem, das in einen technischen Kontext eingebettet ist - also ein Computer, der ein technisches System steuert oder regelt. Das sind z.b. das Antiblockiersystem,

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme R. Merker, Technische Universität Dresden, Fakultät ET und IT J. Kelber, Fachhochschule Schmalkalden, ET Gliederung

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN Einleitung Zunehmender Einsatz von Kurzstreckenfunk in Form drahtloser Datenkommunikation im Bereich IEEE Standard 802.15.4 - Zigbee

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

IuK-Projekt am Institut für Mikroelektronik und Eingebettete Systeme. Prof. Dr.-Ing. Peter Schulz Sommersemester 2013

IuK-Projekt am Institut für Mikroelektronik und Eingebettete Systeme. Prof. Dr.-Ing. Peter Schulz Sommersemester 2013 am Institut für Mikroelektronik und Eingebettete Systeme Sommersemester 2013 1 Das Institut imes bietet ein fortlaufendes Mechatronik-Projekt an. Der Einstieg ist semesterweise möglich. Die Laufzeit aus

Mehr

1.4! Einführung. Systemmodellierung. Methoden und Werkzeuge

1.4! Einführung. Systemmodellierung. Methoden und Werkzeuge Einführung. Vorbemerkungen und Überblick. Die elektronischen e des Fahrzeugs. Prozesse in der Fahrzeugentwicklung im Überblick,.4 Grundlagen. Steuerungs- und regelungstechnische e (Prof. Schumacher). Diskrete

Mehr

Synthese Eingebetteter Systeme. 1 Einführung: Entwurf und Synthese

Synthese Eingebetteter Systeme. 1 Einführung: Entwurf und Synthese 12 Synthese Eingebetteter Systeme Sommersemester 2011 1 Einführung: Entwurf und Synthese 2011/04/06 Michael Engel Informatik 12 TU Dortmund Überblick Komplexität aktueller eingebetteter Systeme Electronic

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Programmiersprachen. Organisation und Einführung. Berthold Hoffmann. Studiengang Informatik Universität Bremen

Programmiersprachen. Organisation und Einführung. Berthold Hoffmann. Studiengang Informatik Universität Bremen Organisation und Einführung Studiengang Informatik Universität Bremen Sommersemester 2010 (Vorlesung am Montag, der 12. April 2010) (Montag, der 12. April 2008) 1 Vorstellung 2 Organisation 3 Einführung

Mehr

Reaktive Systeme und synchrones Paradigma

Reaktive Systeme und synchrones Paradigma Sascha Kretzschmann Freie Universität Berlin Reaktive Systeme und synchrones Paradigma Einführung in das Seminar über synchrone Programmiersprachen Worum geht es? INHALT 2 Inhalt 1. Einleitung - Wo befinden

Mehr

Team. Prof. Dirk Timmermann. Siemens AG (ICN Greifswald)

Team. Prof. Dirk Timmermann. Siemens AG (ICN Greifswald) Teilprojekt MWN (wired) Ziele und Vorgehensweise Putbus, den 09.09.2004 Dipl.-Ing. Harald Widiger Dipl.-Ing. Stephan Kubisch Universität Rostock Fakultät für Informatik und Elektrotechnik Institut für

Mehr

UML 2.0 als Architekturbeschreibungssprache? Seminar: Architekturbeschreibungssprachen Manuel Wickert

UML 2.0 als Architekturbeschreibungssprache? Seminar: Architekturbeschreibungssprachen Manuel Wickert UML 2.0 als Architekturbeschreibungssprache? Seminar: Architekturbeschreibungssprachen Manuel Wickert Motivation UML 2.0 nicht als ADL im Sinne von Taylor/Medvidovic entworfen. Warum UML als ADL? weit

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Formale Entwicklung objektorientierter Software

Formale Entwicklung objektorientierter Software Formale Entwicklung objektorientierter Software Praktikum im Wintersemester 2007/2008 Prof. P.H. Schmitt, Dr. Thomas Käufl, Christian Engel, Benjamin Weiß 24. Oktober 2007 Formale Entwicklung objektorientierter

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Programmiersprachen für eingebettete Systeme. 2008 Jiri Spale, Programmierung eingebetteter Systeme 1

Programmiersprachen für eingebettete Systeme. 2008 Jiri Spale, Programmierung eingebetteter Systeme 1 Programmiersprachen für eingebettete Systeme 2008 Jiri Spale, Programmierung eingebetteter Systeme 1 Programmiersprachen Kategorien Der Einsatz hängt von der Anforderung ab General Purpose Sprachen - z.b.

Mehr

Entwurf und Validierung paralleler Systeme

Entwurf und Validierung paralleler Systeme TECHNISCHE UNIVERSITÄT ILMENAU Entwurf und Validierung paralleler Systeme Integrated Hard- and Software Systems http://www.tu-ilmenau.de\ihs 06.05.2008 Sommersemester 2008 Projektseminar Andreas Mitschele-Thiel

Mehr

Entwurfsmethodik bei FPGA's

Entwurfsmethodik bei FPGA's Entwurfsmethodik bei FPGA's Proseminar 2003 Michael Rupp Inhalt 1. Einleitung 2. Anforderungen an den Entwurf 3. Abstraktionsebenen eines Entwurfes 4. Entwurfsablauf 5. Simulation und Verifikation 6. Verschiedene

Mehr

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Wintersemester 2009/10 Prof. Dr. Dr. h.c. Manfred Broy Unter Mitarbeit von Dr. K. Spies, Dr. M. Spichkova, L. Heinemann, P.

Mehr

Lösungen für die Mechatronik. Vom Chip- zum System-Design mittels SUCCESS Co-Verifikation. Lösungen für Mechatronik. Inhalt

Lösungen für die Mechatronik. Vom Chip- zum System-Design mittels SUCCESS Co-Verifikation. Lösungen für Mechatronik. Inhalt Inhalt Lösungen für Mechatronik p1 Anwendungsbeispiel Mikromechanik p2 Anwendungsbeispiel Makromechanik p3 Schlussfolgerung p5 Unsere Angebote Ihre Vorteile p6 Lösungen für die Mechatronik Vom Chip- zum

Mehr

1 Einleitung. 1.1 Aufgaben und Grobstruktur. Was ist ein Betriebssystem?

1 Einleitung. 1.1 Aufgaben und Grobstruktur. Was ist ein Betriebssystem? 1 Einleitung 1.1 Aufgaben und Grobstruktur Was ist ein Betriebssystem? Betriebssystem (Definition nach DIN 44300) Die Programme eines digitalen Rechensystems, die zusammen mit den Eigenschaften der Rechenanlage

Mehr

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau Formal methods for fun and profit VHDL Ilja Kipermann Sommersemester 2005 Leitung Jun. Prof. Beckert Universität Koblenz-Landau Einleitung Früher: Manuelles Zeichnen von Belichtungsmasken Heute: Hardwarebeschreibungssprachen

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language

UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language UML-Basics: Einführung in Objekt- Orientierte Modellierung mit der Unified Modeling Language ADV-Seminar Leiter: Ziel dieses Seminars Verständnis von Objekt-Orientierung Was sind Klassen? Was ist Vererbung?

Mehr

PARADOM. Parallele Algorithmische Differentiation in OpenModelica für energietechnische Simulationen und Optimierungen.

PARADOM. Parallele Algorithmische Differentiation in OpenModelica für energietechnische Simulationen und Optimierungen. Zentrum für Informationsdienste und Hochleistungsrechnen TU Dresden PARADOM Parallele Algorithmische Differentiation in OpenModelica für energietechnische Simulationen und Optimierungen Martin Flehmig

Mehr

AUTOSAR. Robert Neue. PG AutoLab Seminarwochenende Oktober AutoLab

AUTOSAR. Robert Neue. PG AutoLab Seminarwochenende Oktober AutoLab AUTOSAR Robert Neue robert.neue@gmx.de PG Seminarwochenende 21.-23. Oktober 2007 1 Überblick 1. Motivation / Ziele 2. Schichtenmodell 3. Konzepte 4. AUTOSAR-OS vs. OSEK-OS 5. Methodik 6. Fazit Robert Neue

Mehr

ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen

ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen Ch. Haubelt, D. Koch, T. Streichert, J. Teich Hardware-Software-Co-Design

Mehr

Games with Cellular Automata auf Parallelen Rechnerarchitekturen

Games with Cellular Automata auf Parallelen Rechnerarchitekturen Bachelor Games with Cellular Automata auf Parallelen en ( ) Dipl.-Inf. Marc Reichenbach Prof. Dietmar Fey Ziel des s Paralleles Rechnen Keine akademische Nische mehr Vielmehr Allgemeingut für den Beruf

Mehr

Embedded OS für ARM Cortex Microcontroller

Embedded OS für ARM Cortex Microcontroller Embedded OS für ARM Cortex Microcontroller RTOS Design, Timinganalyse und Test mit Core Simulation und Hardware Debugger Entscheidende Fragen für oder gegen RTOS Lohnt sich der Einsatz eines RTOS auch

Mehr

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009

Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 ECC 2009 Verifikation mit OVM/System Verilog Embedded Computing Conference 2009 Wohin geht die Zukunft? IME, 2004 Institut für Mikroelektronik, Steinackerstrasse 1, 5210 Windisch 25.05.2009 2 Übersicht

Mehr

Proseminar: Moderne Technologien für die Entwicklung von verteilten, dynamischen Anwendungen

Proseminar: Moderne Technologien für die Entwicklung von verteilten, dynamischen Anwendungen Proseminar: Moderne Technologien für die Entwicklung von verteilten, dynamischen Anwendungen Einführung Prof. Dr. Joel Greenyer 3. April 2013 Organisation Leitung: Joel Greenyer Büro: g322 email: greenyer@inf.uni-hannover.de

Mehr

Informatik 1. für Kommunikationstechniker, Mechatroniker. Teil 1 - Wintersemester 2012/13

Informatik 1. für Kommunikationstechniker, Mechatroniker. Teil 1 - Wintersemester 2012/13 Informatik 1 für Kommunikationstechniker, Mechatroniker Teil 1 - Wintersemester 2012/13 Prof. Dr.-Ing. habil. Peter Sobe Fakultät Informatik / Mathematik Dieser Foliensatz wurde z.t. von Herrn Prof. Grossmann

Mehr

HPC für embedded Systeme Antriebsschlupfregelung. Johann-Nikolaus Andreae 4. Juni 2009 Ausarbeitung Anwendungen 2

HPC für embedded Systeme Antriebsschlupfregelung. Johann-Nikolaus Andreae 4. Juni 2009 Ausarbeitung Anwendungen 2 HPC für embedded Systeme Antriebsschlupfregelung Johann-Nikolaus Andreae 4. Juni 2009 Ausarbeitung Anwendungen 2 Agenda Einführung Antriebsschlupfregelung Übersicht des Konzeptes Java Real Time Modellierung

Mehr

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Symmetric Multiprocessing mit einer FPGA basierten MPSoC Plattform Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Inhalt Motivation Vorarbeiten Ziele für die Masterarbeit Vorgehensweise

Mehr

UML (Unified Modelling Language) von Christian Bartl

UML (Unified Modelling Language) von Christian Bartl UML (Unified Modelling Language) von Inhaltsverzeichnis Inhaltsverzeichnis... 2 1 UML Unified Modelling Language... 3 2 Diagrammtypen... 3 2.1 Aktivitätsdiagramm... 3 2.1.1 Notation... 4 2.1.2 Beispieldiagramm...

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Kapitel 2 Übersicht über den Entwurfsablauf

Kapitel 2 Übersicht über den Entwurfsablauf Kapitel 2 Übersicht über den Entwurfsablauf 1 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt Einführung neuer Produkte Marktanalyse Kapitel 2 Pflichtenheft Electronic Design Übersicht über den Entwurfsablauf

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Generierung von Steuerungsprogrammcode für SPS und μc aus Petri-Netz-Modellen

Generierung von Steuerungsprogrammcode für SPS und μc aus Petri-Netz-Modellen Fachhochschule Köln Cologne University of Applied Sciences Fakultät für Informations-, Medien- und Elektrotechnik Institut für Automatisierungstechnik Labor für Informations- und Automatisierungstechnik

Mehr

HW/SW Codesign 5 - Performance

HW/SW Codesign 5 - Performance HW/SW Codesign 5 - Performance Martin Lechner e1026059 Computer Technology /29 Inhalt Was bedeutet Performance? Methoden zur Steigerung der Performance Einfluss der Kommunikation Hardware vs. Software

Mehr

Software-basierter Speicherschutz durch spezialisierte Java-VMs auf Mikrocontrollersystemen

Software-basierter Speicherschutz durch spezialisierte Java-VMs auf Mikrocontrollersystemen Software-basierter Speicherschutz durch spezialisierte Java-VMs auf Mikrocontrollersystemen Christian Wawersich Lehrstuhl für Informatik 4 Verteilte Systeme und Betriebssysteme Universität Erlangen-Nürnberg

Mehr

Was ist Informatik? Alexander Lange

Was ist Informatik? Alexander Lange Was ist Informatik? Was ist Informatik? Alexander Lange 12.11.2003 Was ist Informatik? Inhalt 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. Das Wort»Informatik«Die Idee Teilgebiete der Informatik Technische Informatik

Mehr

Software Engineering

Software Engineering lan Sommerville 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Software Engineering 6. Auflage Pearson Studium ein

Mehr

Funktionale Sicherheit Testing unter

Funktionale Sicherheit Testing unter Funktionale Sicherheit Testing unter den Bedingungen der Safety Integrity Levels Präsentation auf dem Neu-Ulmer Test-Engineering Day Sebastian Stiemke, MissingLinkElectronics, Neu-Ulm 1 Inhalt Idee hinter

Mehr

Interaktionsdiagramme in UML

Interaktionsdiagramme in UML Interaktionsdiagramme in UML Interaktionsdiagramm ist ein Oberbegriff für eine Reihe von Diagrammen, die das Verhalten eines objektorientierten Systems durch Objektinteraktionen beschreiben Ein Sequenzdiagramm

Mehr

Entwurf Integrierter Systeme

Entwurf Integrierter Systeme Vorlesung Entwurf Integrierter Systeme Dr.-Ing. Steffen Arlt Tel. 03677 691165 Email: steffen.arlt@tu-ilmenau.de 1 Entwurf integrierter Systeme Dr.-Ing. Steffen Arlt Inhaltsübersicht 1. Einführung 2. Übersicht

Mehr

1 EINLEITUNG PROJEKTABLAUF Allgemeine Zielsetzung Projektstruktur und Zeitplan ANFORDERUNGSANALYSE...

1 EINLEITUNG PROJEKTABLAUF Allgemeine Zielsetzung Projektstruktur und Zeitplan ANFORDERUNGSANALYSE... Inhaltsverzeichnis Inhaltsverzeichnis 1 EINLEITUNG... 1 2 PROJEKTABLAUF... 4 2.1 Allgemeine Zielsetzung... 4 2.2 Projektstruktur und Zeitplan... 4 3 ANFORDERUNGSANALYSE... 8 3.1 Der Prototyp des Anlagenmodells...

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Modellierung von Echtzeitsystemen mit dem UML CASE Tool Telelogic Tau G2 Developer

Modellierung von Echtzeitsystemen mit dem UML CASE Tool Telelogic Tau G2 Developer Modellierung von Echtzeitsystemen mit dem UML CASE Tool Telelogic Tau G2 Developer Holger Sinnerbrink Einführung Firmenentwicklung Gründung von Telelogic 1983 als Forschungs- und Entwicklungsabteilung

Mehr

Software-Engineering und Hardware-Design

Software-Engineering und Hardware-Design Software-Engineering und Hardware-Design Eine systematische Einführung Bearbeitet von Axel Sikora, Rolf Drechsler 1. Auflage 2002. Buch. 199 S. Hardcover ISBN 978 3 446 21861 1 Format (B x L): 16 x 22,7

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Notationen zur Prozessmodellierung

Notationen zur Prozessmodellierung Notationen zur Prozessmodellierung August 2014 Inhalt (erweiterte) ereignisgesteuerte Prozesskette (eepk) 3 Wertschöpfungskettendiagramm (WKD) 5 Business Process Model and Notation (BPMN) 7 Unified Modeling

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Untersuchungen zur Zulassung von Software unterschiedlicher Sicherheitsklassen auf einem Prozessormodule unter dem neuartigen Betriebssystem PikeOS

Untersuchungen zur Zulassung von Software unterschiedlicher Sicherheitsklassen auf einem Prozessormodule unter dem neuartigen Betriebssystem PikeOS Untersuchungen zur Zulassung von Software unterschiedlicher Sicherheitsklassen auf einem Prozessormodule unter dem neuartigen Betriebssystem PikeOS Automotive Safety & Security 2008 Stuttgart, 19. 20.11.2008

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Unified Modeling Language (UML)

Unified Modeling Language (UML) Kirsten Berkenkötter Was ist ein Modell? Warum Modellieren? Warum UML? Viele, viele Diagramme UML am Beispiel Was ist ein Modell? Ein Modell: ist eine abstrakte Repräsentation eines Systems, bzw. ist eine

Mehr

Studienvertiefungsrichtung Informationstechnik

Studienvertiefungsrichtung Informationstechnik Studienvertiefungsrichtung Informationstechnik Prof.Dr.-Ing. Ulrich Sauvagerd Lehrgebiet Informationstechnik Nov. 2006, Seite 1 www.etech.haw-hamburg.de/~sauvagerd Lehrgebiet Informationstechnik Nov. 2006,

Mehr

Universität Karlsruhe (TH)

Universität Karlsruhe (TH) Universität Karlsruhe (TH) Forschungsuniversität gegründet 1825 Kapitel 2 Die Definitionsphase Prof. Walter F. Tichy Wo sind wir gerade? Planung Lastenheft (funktionales Modell) Definition (Analyse) Pflichtenheft

Mehr

Einsatz von Simulationen in der Softwareentwicklung

Einsatz von Simulationen in der Softwareentwicklung Einsatz von Simulationen in der Softwareentwicklung Dr. rer. nat. Olaf Maibaum Deutsches Zentrum für Luft- und Raumfahrt e.v. Simulations- und Softwaretechnik, Braunschweig Dr. Olaf Maibaum. DLR, Simulations-

Mehr

Subranging-Analog/Digital-Wandler mit tiefem Leistungsverbrauch für System-on-Chip-Lösungen in Sensor-Anwendungen

Subranging-Analog/Digital-Wandler mit tiefem Leistungsverbrauch für System-on-Chip-Lösungen in Sensor-Anwendungen Subranging-Analog/Digital-Wandler mit tiefem Leistungsverbrauch für System-on-Chip-Lösungen in Sensor-Anwendungen IMES Institut für Mikroelektronik und Embedded-Systems Roman Willi Inhalt - Einleitung

Mehr

Aktuelle Fortschritte von MDAbasierten Entwicklungsansätzen im Bereich Fahrerassistenzsysteme

Aktuelle Fortschritte von MDAbasierten Entwicklungsansätzen im Bereich Fahrerassistenzsysteme Fakultät Informatik Institut f ür Angewandte Inf ormatik, Prof essur TIS Aktuelle Fortschritte von MDAbasierten Entwicklungsansätzen im Bereich Fahrerassistenzsysteme Hauptseminar Technische Informationssysteme

Mehr

Compiler für Eingebettete Systeme

Compiler für Eingebettete Systeme Compiler für Eingebettete Systeme [CS7506] Sommersemester 2014 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 1 Einordnung &

Mehr

Informations- und Kommunikationstechnologien

Informations- und Kommunikationstechnologien Rahmenplan des Bachelorstudiengangs Informations- und Kommunikationstechnologien Gültig ab Matrikel 014 Stand: 05.05.014 1. Modulübersicht Fachgebiete 1. Semester. Semester 3. Semester 4. Semester 5. Semester

Mehr

Message Sequence Charts, Live Sequence Charts

Message Sequence Charts, Live Sequence Charts Message Sequence Charts, Live Sequence Charts SE Systementwurf WS 05/06 Evgeniya Ershova Message Sequence Charts, Live Sequence Charts 1 Gliederung Heute basic MSC s Message Sequence Graphs Hierarchical

Mehr

Finden Sie mit der AllatNet Recruiting Division Ihren Traum Job.

Finden Sie mit der AllatNet Recruiting Division Ihren Traum Job. Automotive, Nachrichtentechnik und weiteren Industriezweigen, erweitern wir Ihre Karrieremöglichkeiten Hardwareentwickler analoge Schaltungen (m/w) Referenz HW 2014 AS: Einsatzort: München, Stuttgart,

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Skript zur Vorlesung: Einführung in die Programmierung WiSe 2009 / 2010 Skript 2009 Christian Böhm, Peer Kröger, Arthur Zimek Prof. Dr. Christian Böhm Annahita Oswald Bianca Wackersreuther Ludwig-Maximilians-Universität

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung seit 60 Jahren! In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Produktion und Fahrzeugtechnik Tribologie

Mehr

J. Reinier van Kampenhout Robert Hilbrich Hans-Joachim Goltz. Workshop Echtzeit Fraunhofer FIRST

J. Reinier van Kampenhout Robert Hilbrich Hans-Joachim Goltz. Workshop Echtzeit Fraunhofer FIRST Modellbasierte Generierung von statischen Schedules für sicherheitskritische, eingebettete Systeme mit Multicore Prozessoren und harten Echtzeitanforderungen J. Reinier van Kampenhout Robert Hilbrich Hans-Joachim

Mehr

Timing-fokussiertes Design eingebetteter Systeme Matthias Dörfel, doerfel@inchron.com Tapio Kramer, kramer@inchron.com

Timing-fokussiertes Design eingebetteter Systeme Matthias Dörfel, doerfel@inchron.com Tapio Kramer, kramer@inchron.com Timing-fokussiertes Design eingebetteter Systeme Matthias Dörfel, doerfel@inchron.com Tapio Kramer, kramer@inchron.com Durch Design-Fehler entstandene Timing-Probleme werden häufig erst sehr spät im Entwicklungsprozess

Mehr

Verhaltensbeschreibung und Spezifikationssprachen

Verhaltensbeschreibung und Spezifikationssprachen TECHNISCHE UNIVERSITÄT ILMENAU Integrierte Kommunikationssysteme http://www.tu-ilmenau.de/iks Verhaltensbeschreibung und Spezifikationssprachen Verhaltensmodelle Zustandsautomaten (FSM) Nicht-deterministische

Mehr

Beobachtung 1: Beispiel: Intuitive Programme sind oft ineffizient.

Beobachtung 1: Beispiel: Intuitive Programme sind oft ineffizient. Beobachtung 1: Intuitive Programme sind oft ineffizient. Beispiel: void swap (int i, int j) { int t; if (a[i] > a[j]) { t = a[j]; a[j] = a[i]; a[i] = t; } } 731 Ineffizienzen: Adressen a[i], a[j] werden

Mehr

Integrated Modular Avionics & ARINC 653

Integrated Modular Avionics & ARINC 653 Integrated Modular Avionics & ARINC 653 Martin Wißmiller Gliederung IMA - Traditioneller Ansatz - IMA-Ansatz - IMA-Generationen ARINC653 - Speicherpartitionierung - Zeitpartitionierung - Kommunikation

Mehr

Wie kann man die Korrektheit reaktiver Systeme gewährleisten?

Wie kann man die Korrektheit reaktiver Systeme gewährleisten? Korrektheit durch modulare Konstruktion Wie kann man die Korrektheit reaktiver Systeme gewährleisten? Ansatz: Durch systematische Konstruktion (Schlagwort: strukturierte Programmierung für parallele Programmiersprachen)

Mehr

Validierung von System- Architekturen

Validierung von System- Architekturen Validierung von System- Architekturen Westsächsische Hochschule Zwickau, Fachgruppe Informatik André Pflüger, Christian Poßögel Motivation Schwierigkeit: Entwicklung schreitet stetig voran Ergebnisse sind

Mehr

TEIL I: OBJEKTORIENTIERUNG UND GRUNDKURS JAVA GRUNDLAGEN DER PROGRAMMIERUNG... 4

TEIL I: OBJEKTORIENTIERUNG UND GRUNDKURS JAVA GRUNDLAGEN DER PROGRAMMIERUNG... 4 Inhaltsverzeichnis TEIL I: OBJEKTORIENTIERUNG UND GRUNDKURS JAVA... 1 1 GRUNDLAGEN DER PROGRAMMIERUNG... 4 1.1 Das erste Java-Programm... 4 1.2 Programme und ihre Abläufe... 6 1.3 Entwurf mit Nassi-Shneiderman-Diagrammen...

Mehr

Inhaltsverzeichnis. Carsten Vogt. Nebenläufige Programmierung. Ein Arbeitsbuch mit UNIX/Linux und Java ISBN:

Inhaltsverzeichnis. Carsten Vogt. Nebenläufige Programmierung. Ein Arbeitsbuch mit UNIX/Linux und Java ISBN: Inhaltsverzeichnis Carsten Vogt Nebenläufige Programmierung Ein Arbeitsbuch mit UNIX/Linux und Java ISBN: 978-3-446-42755-6 Weitere Informationen oder Bestellungen unter http://www.hanser.de/978-3-446-42755-6

Mehr

Digitale Systementwicklung mit Rapid Prototyping

Digitale Systementwicklung mit Rapid Prototyping igitale Systementwicklung mit Rapid Prototyping Forschung am Institut Algorithmen, Architekturen und VLSI Realisierungen für die Informations- und Kommunikationstechnik Schnelle und verlustleistungsarme

Mehr

Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover

Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover Mikroelektronik-Ausbildung am Institut für Mikroelektronische Systeme der Leibniz Universität Hannover Prof. Dr.-Ing. Holger Blume, Hans-Peter Brückner, Christian Leibold, Ingo Schmädecke Gliederung Motivation

Mehr

Verteilte Systeme. Verteilte Systeme. 5 Prozeß-Management SS 2016

Verteilte Systeme. Verteilte Systeme. 5 Prozeß-Management SS 2016 Verteilte Systeme SS 2016 Universität Siegen rolanda.dwismuellera@duni-siegena.de Tel.: 0271/740-4050, Büro: H-B 8404 Stand: 31. Mai 2016 Betriebssysteme / verteilte Systeme Verteilte Systeme (1/14) i

Mehr

Audiosignalverarbeitung mit FPGA

Audiosignalverarbeitung mit FPGA Audiosignalverarbeitung mit FPGA Oswald Berthold Humboldt-Universität zu Berlin Institut für Informatik Lehrstuhl Signalverarbeitung und Mustererkennung 2. März 2010 Oswald Berthold Audiosignalverarbeitung

Mehr

Entwicklung integrierter Hard- und Softwaresysteme: Aufgaben und Prozesse

Entwicklung integrierter Hard- und Softwaresysteme: Aufgaben und Prozesse TECHNISCHE UNIVERSITÄT ILMENAU Entwicklung integrierter Hard- und Softwaresysteme: Aufgaben und Prozesse Integrated Communication Systems http://www.tu-ilmenau.de/iks Generelle Entwicklungsaufgaben Analyse

Mehr

Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung

Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung Methoden Design Integration STZ Softwaretechnik Andreas Rau STZ Softwaretechnik Im Gaugenmaier 20 73730 Esslingen Email:

Mehr

Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme. Rekonfigurierbare Controller

Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme. Rekonfigurierbare Controller Schwerpunktprogramm 1148 Rekonfigurierbare Rechensysteme Rekonfigurierbare Controller Roland Kasper Thomas Reinemann Institut für Mechatronik und Antriebstechnik Otto-von-Guericke-Universität Magdeburg

Mehr