Hardware/Software-Codesign

Größe: px
Ab Seite anzeigen:

Download "Hardware/Software-Codesign"

Transkript

1 Hardware/Software-Codesign Kapitel 1 - Einführung M. Schölzel

2 Entwicklungsgeschichte Job User User Ergebnis Mainframes / Großrechner Großrechner Desktops Sensoren Physisches System Prozessrechner Zuverlässigkeit nimmt zu Erster Mikroprozessor Intel 4004 Aktuatoren Finden Eingang in viele Bereiche Logik auf einem Chip integriert Eingebettete Systeme

3 Beispiel für diesen Trend Komet Küchenmaschine anno 1958 Küchenmaschine anno 2010 Rührwerk 1 Schalter Drehzahl über Getriebe wählbar Kaum elektronische Bauteile Rührwerk, Waage, Heizplatte, Uhr 15 Schalter/Knöpfe (teilweise Mehrfachbelegung) 18 LEDs Digitalanzeige Platine mit Prozessor 3

4 Eingebettete Elektronik ist Überall Mikrocontroller Mikroprozessor Mehrkernprozessor 80 bis 100 Prozessoren Fensterhebermotor Regelung durch Mikroprozessor

5 Schematische Darstellung Eingebettetes System Kopplung Speicher ASIC Standardkomponente Prozessor Prozessor Eingebettetes System Systemumgebung

6 Kopplung Prozessrechner mit physischem System Analoge Signale sind kontinuierlich in der Zeit und den Werten Digitale Signale sind diskret in der Zeit und den Werten Mit zunehmender Integrationsdichte wurden digitale Verarbeitungssysteme immer kleiner (Schrankgröße -> Stecknadelkopfgröße) Damit zunehmende Verbreitung der eingebetteten Systeme Analog-Digital- Wandler Digitale Signale Cyber-System * Digitales Verarbeitungssystem Digitale Signale Digital-Analog- Wandler Analoge Signale Analoge Signale Sensoren Regelstrecke Aktoren Physical-System * * Lee, Edward. Cyber Physical Systems: Design Challenges. University of California, Berkeley Technical Report No. UCB/EECS

7 Entwurfsprozesses für Cyber-Physical-System Gesamtsystems Entwurf mechanischer Komponenten Entwurf elektronischer Komponenten Formales Modell der elektronischen Komponenten Formales Modell der mechanischen Komponenten Testen mechanischer Komponenten Testen elektronischer Komponenten Gesamtsystem

8 Motivation für HW/SW-Codesign Freiheitsgrade beim Entwurf elektronischer Komponenten Application Specific Circuit (ASIC) Standardprozessor + Software Gründe für Implementierung in Software: Entwurfszeit, Flexibilität, Entwicklungskosten. Gründe für Implementierung in Hardware: Verarbeitungsgeschwindigkeit, Stromverbrauch, Platzverbrauch, (Fertigungskosten).

9 Verarbeitungsgeschwindigkeit hoch Auf das Problem zugeschnittene Hardwarearchitektur und Technologie Spezialhardware möglich niedrig Umsetzung als ASIC Programmgesteuerte Universalarchitektur verbraucht Zeit für Programmverarbeitung Alle erforderlichen Operationen müssen mit dem vorhandenen Befehlssatz umgesetzt werden Umsetzung mit Standardprozessor und Software

10 Entwurfszeit hoch Oft vollständiger Neuentwurf erforderlich. Korrektur von Entwurfsfehlern kostet viel Zeit. Niedriges Abstraktionsniveau niedrig Umsetzung als ASIC Neuentwurf erforderlich, aber Programmiersprachen stellen oft schon zahlreiche Bibliotheken mit vorgefertigter Funktionalität bereit. Höheres Abstraktionsniveau als in Hardwarebeschreibungssprachen Umsetzung mit Standardprozessor und Software

11 Entwicklungskosten hoch Korreliert mit Entwurfszeit niedrig Umsetzung als ASIC Korreliert mit Entwurfszeit Umsetzung mit Standardprozessor und Software

12 Flexibilität hoch Auch nach der Fertigung noch Änderungen an der Software möglich niedrig Einmal gefertigt nicht mehr änderbar Umsetzung als ASIC Umsetzung mit Standardprozessor und Software

13 Platz-/Stromverbrauch hoch Programmgesteuerte Universalarchitektur verbraucht Platz und Strom für Programmverarbeitung Längere Verarbeitungszeit Überflüssige Hardware niedrig Auf das Problem zugeschnittene Hardwarearchitektur und Technologie Spezialisierte Hardware - komponenten Umsetzung als ASIC Umsetzung mit Standardprozessor und Software

14 Gesamtkosten pro Stück hoch Bei geringer Stückzahl Bei hoher Stückzahl niedrig Bei hoher Stückzahl Bei geringer Stückzahl Umsetzung als ASIC Umsetzung mit Standardprozessor und Software Beispiel: Fixkosten: Herstellungskosten pro Stück 2, , 5 x x x (3 2, 5) x Fixkosten: Kaufpreis pro Stück: 3,00

15 Schlussfolgerung Gesucht ist eine Lösung, die die Gesamtkosten minimiert und dabei alle Randbedingungen (Verarbeitungszeit, Entwicklungszeit, Platz-/Stromverbrauch, ) einhält. Durch eine Softwarerealisierung können die Entwicklungskosten und zeiten gering gehalten werden. Anforderungen bzgl. Verarbeitungsgeschwindigkeit, Platz- /Stromverbrauch werden dann aber evtl. nicht eingehalten. Hardware-/Software Codesign dient dem Finden einer Systemimplementierung, zwischen diesen beiden Extremen.

16 Was ist HW/SW-Codesign? Hardware/software co-design means meeting system-level objectives by exploiting the synergism of hardware and software through their concurrent design. DeMicheli Co-Design is a simultaneous consideration of hardware and software within the design process. Lockheed Martin Hardware/software co-design means meeting system-level objectives by exploiting the synergism of hardware and software through their concurrent design. Software-hardware co-design is an important approach to ensure an efficient final implementation of the product. Wikipedia

17 Aspekte des HW/SW Codesign: Partitionierung Informale Spezifikation (Beschreibung der Funktionalität) Formale Spezifikation Betrachtung unterschiedlicher HW/SW Partitionierungen für die Funktionen Fi im System. F1 F4 F5 F1 F2 F4 F5 F1 F2 F4 F3 F6 F3 F6 F3 F6 F2 F5 HW SW HW SW HW SW Variante 1 Variante 2 Variante k

18 Aspekte des HW/SW Codesign: High- Level-Synthese F1 K1 F4 F5 F1 K1 F2 K2 F4 F5 F1 K1 F2 K2 F4 F3 F6 F3 K3 F6 F3 K3 F6 K4 F2 F5 K5 HW SW HW SW HW SW Variante 1 Variante 2 Variante k Abbilden der Funktionalität, die in Form einer Hochsprachenbeschreibung (Programmiersprache, Graphmodell) auf eine Hardwareimplementierung (Struktur) Dabei Optimierung der Hardware für die geforderte Funktionalität

19 Aspekte des HW/SW Codesign: HW für SW optimieren F1 K1 F4 F5 F1 K1 F2 K2 F4 F5 F1 K1 F2 K2 F4 P1 P2 F3 F6 F3 K3 F6 F3 K3 F6 K4 F2 P2 F5 K5 P1 HW SW HW SW HW SW Variante 1 Variante 2 Variante k Software fest vorgegeben: Optimierung der Hardware durch Auswahl geeigneter Prozessoren für die Softwarefunktionalität. Möglichkeiten: Verwendung von Standardprozessoren Verwendung Domain-spezifischer Prozessoren (z.b. Digitale Signalprozessoren, Mikrocontroller) Verwendung von ASIPs (Application Sepcific Instruction Set Processors); Ableiten des Befehlssatzes des Prozessors aus der Anwendung erforderlich

20 Aspekte des HW/SW Codesign: SW für HW optimieren F1 K1 F4 F5 F1 K1 F2 K2 F4 F5 F1 K1 F2 K2 F4 P1 P2 F3 F6 F3 K3 F6 F3 K3 F6 K4 F2 P2 F5 K5 P1 HW SW HW SW HW SW Variante 1 Variante 2 Variante k Hardware fest vorgegeben: Optimierung der Software bei der Softwaresynthese oder Auswahl eines geeigneten Algorithmus aus einem ganzen Spektrum von Algorithmen, der besonders gut auf vorliegender HW abgearbeitet werden kann. Softwaresynthese: Abbilden der in Software implementierten Funktionen auf den Zielprozessor Programm muss für Zielarchitektur optimiert werden Für jede Zielarchitektur wird ein spezifischer optimierender Compiler benötigt: Anpassung der Hardware zur Unterstützung bestimmter Optimierungstechniken in Compilern Anpassung des Compilers zur Unterstützung bestimmter Optimierungen für die Zielarchitektur

21 Problematik des Systementwurfs Höhere Integrationsdichte erlaubt Fertigung immer komplexerer Systeme: System on Chips (SoC) Multi-Processor Systems on Chip (MPSoC) Design-Gap: Entwicklung geeigneter Entwurfswerkzeuge hält mit Systemkomplexität, die gefertigt werden kann, nicht Schritt Komplexität Design-Gap Komplexität Hardware Beherrschbare Komplexität mit Entwurfswerkzeugen Eine Lösungsstrategie: Abstraktion Jahr

22 Systematisierung Systementwurf Abstraktion Granularität der Modellierung: Systemebene (Prozessoren, Speicher, Busse, ) Architektureben (Register, ALU, ) Logikebene (Gatter, Flip-Flops, ) Schalterebene Verfeinerung ( ) ist die Verringerung der Abstraktion Verhalten System Prozessor Logik Schalter Sichten Beschreiben unterschiedliche Modellierungsarten: Verhalten Struktur (Physische Sicht) Synthese ( ) ist die Überführung von Verhalten in Struktur bzw. Struktur in Physische Sicht Struktur Physisch Abstraktionen / Ebenen nach Gajski (Y-Chart)

23 Typische Modelle im Entwurfsablauf Prozessbasierte Modelle (Kommunizierende Prozesse, DFGs), Zustandsbasierte Systeme (Petrinetze, State Charts) Prozessoren, ASICs, Speicher, Busse, Programmiersprachen, CFG, DAGs zur Modellierung sequentiellen Verhaltens Verhalten System Prozessor Logik Schalter Struktur ALUs, Register, Multiplizierer, Gatter (NAND, NOR), Flip-Flops Zustandsautomaten zur Modellierung sequentieller Logik, Aussagenlogische Formeln, Wahrheitswertetabellen zur Modellierung Boolescher Funktionen Physisch Spannungs- /Stromgleichungen Physische Aufteilung des Chips Transistoren, Netzlisten Transistorlayout Gatterlayout Layout der Module

24 Einbeziehung der Software Software Hardware Verhalten System Prozessor Logik Struktur Schalter Block Modul System Architektur Logik Abstraktionen / Ebenen nach J. Teich (Doppeldach) Physisch Abstraktionen / Ebenen nach Gajski (Y-Chart)

25 Typische Modelle Prozessbasierte Modelle (Kommunizierende Prozesse, DFGs) Zustandsbasierte Modelle (Petrinetze, State Charts) Prozessoren, ASICs, ASIPs, Busse, Speicher, Algebraische Spezifikation Software Hardware Programmiersprachen, Hardwarebeschreibungssprachen, DAGs, Graph-basierte Modelle, DAGs, CFG, 3-Address-Code Assembler- /Maschinenprogramm Imperatives Programm Block Modul System ALUs, Register, Multiplexer, Architektur Logik Boolesche Funktionen, Zustandsautomaten, Gatter, Flip-Flops, Abstraktionen / Ebenen nach J. Teich

26 Typischer Entwurfsablauf Systemspezifikation Validierung HW/SW-Partitionierung Hardwareentwurf Validierung Hardwaresynthese Softwareentwurf Validierung Softwaresynthese Validierung Fertig

27 Typischer Ablauf der High-Level- Synthese Eingabe der Spezifikation Berechnung einer Allokation, Ablaufplanung und Bindung Ausgabe des Datenpfads Ausgabe des Kontrollpfads

28 Prozessorsynthese (1) Fertig! Aufgabe: Fensterhebermotor bauen Mechanische Komponenten entwerfen Elektronische Komponenten entwerfen CoMet Prozessor entwerfen Software entwerfen Prozessor muss zur Software passen! Software ist in der Regel zuerst da!

29 Prozessorsynthese (2) Spezifikation des Prozessormodells Software Assembler Übersetzungswerkzeuge Compiler Binärcode der Anwen - dung Anpassung des Simulators Ergebnisse des Profilings

30 Typischer Ablauf der Software-Synthese Eingabe des Hochsprachenprogramms Berechnung einer Ablaufplanung, Zielcodeauswahl und Registerallokation Ausgabe des Binärcodes

31 Was soll behandelt werden in der VL? Implementierungsvarianten für eingebettete Systeme: ASICs, verschiedene Prozessorarchitekturen, FPGAs, Softcores, Systemspezifikation Validierung HW/SW-Partitionierung Modellierungsmöglichkeiten für eingebettete Systeme VHDL Hardwareentwurf Validierung Hardwaresynthese Softwareentwurf Validierung Softwaresynthese High-Level-Synthese, Architektursynthese Synthesecompiler Validierung Fertig Compiler: Optimierung (Standardoptimierungen / Zielarchitekturabhängige Optimierungen), Retargierbare Compiler

32 Literatur J. Teich: Digitale Hardware/Software-Systeme Synthese und Optimierung, Springer, R. Gessler, T. Mahr: Hardware-Software-Codesign Entwicklung flexibler Mikroprozessor-FPGA-Hochleistungssysteme, Vieweg, A. Jentsch: Modeling Embedded Systems and SOC s Concurrency and Time in Models of Computation, Morgan Kaufmann, Rajesh Kumar Gupta: Co-Synthesis of Hardware and Software for Digital Embedded Systems, Kluwer Academic Publishers, Daniel D. Gajski, Frank Vahid, Sinjiv Narayan, Jie Gong: Specification and Design of Embedded Systems, Prentice Hall, D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner: Embedded System Design Modeling, Synthesis and Verification, Springer, 2009.

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1

Musterlösung 3. Mikroprozessor & Eingebettete Systeme 1 Musterlösung 3 Mikroprozessor & Eingebettete Systeme 1 WS214/215 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tief ergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg

Mehr

Partitionierung komplexer heterogener Systeme

Partitionierung komplexer heterogener Systeme Berichte aus der Elektrotechnik Thomas Hollstein Entwurf und interaktive Hardware-/Software- Partitionierung komplexer heterogener Systeme D17(Diss.TU Darmstadt) Shaker Verlag Aachen 2001 Inhaltsverzeichnis

Mehr

Hardware-Engineering

Hardware-Engineering Universität Bielefeld Technische Fakultät AG Technische Informatik Seminar Hardware-Engineering 29. Juli 2004 Veranstalter Tim Köhler 1 1 tkoehler@techfak. 2 Universität Bielefeld, AG Technische Informatik

Mehr

Implementierung eines Software Defined Radio auf einem FPGA

Implementierung eines Software Defined Radio auf einem FPGA Technik Auguste Feukam-Chindji Implementierung eines Software Defined Radio auf einem FPGA Diplomarbeit Fachhochschule Köln Cologne University of Applied Sciences 07 Fakultät für Informations-, Medien-

Mehr

Product Line Engineering (PLE)

Product Line Engineering (PLE) Product Line Engineering (PLE) Produktlinienentwicklung Von Christoph Kuberczyk Christoph Kuberczyk, SE in der Wissenschaft 2015, Product Line Engineering 1 Gliederung 1. Was ist PLE? 2. Motivation 3.

Mehr

Entwurfsablauf. Entwurfsmethodik. Beispiel Wasserfall-Modell. Beispiel Wasserfall-Modell. Prozess des Entwurfs

Entwurfsablauf. Entwurfsmethodik. Beispiel Wasserfall-Modell. Beispiel Wasserfall-Modell. Prozess des Entwurfs Komplexe Systeme Entwurfskriterien Eingebettete Systeme - Entwurfsmethodik - Verlustleistung Programmspeicher Zuverlässigkeit Datenspeicher Lothar Thiele Verarbeitungsleistung Gewicht Wiederverwendbarkeit

Mehr

Compiler für Eingebettete Systeme

Compiler für Eingebettete Systeme Compiler für Eingebettete Systeme [CS7506] Sommersemester 2014 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 1 Einordnung &

Mehr

DSL Entwicklung und Modellierung

DSL Entwicklung und Modellierung DSL Entwicklung und Modellierung Dipl. Inform. Rolf Hänisch Übersicht DSL, was bedeutet das für uns? Eine Anwendung aus der Automatisierungstechnik Sprachen und Werkzeuge Ergebnisse und Erfahrungen GI

Mehr

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme R. Merker, Technische Universität Dresden, Fakultät ET und IT J. Kelber, Fachhochschule Schmalkalden, ET Gliederung

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Symmetric Multiprocessing mit einer FPGA basierten MPSoC Plattform Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Inhalt Motivation Vorarbeiten Ziele für die Masterarbeit Vorgehensweise

Mehr

Xesar. Die vielfältige Sicherheitslösung

Xesar. Die vielfältige Sicherheitslösung Xesar Die vielfältige Sicherheitslösung Xesar Die professionelle Lösung für Ihr Unternehmen Xesar Sicher und flexibel Xesar ist das vielseitige elektronische Schließsystem aus dem Hause EVVA. Komplexe

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Systembeschreibungssprachen

Systembeschreibungssprachen Systembeschreibungssprachen Dr. Jürgen Ruf Organisation Vorlesung Donnerstags 15:45 bis 17:15 Kleiner Hörsaal, Sand 6/7 Sprechzeiten: nach Vereinbarung Email: ruf@informatik.uni-tuebingen.de Tel: 07071/29-74706

Mehr

Audiospektrum- Analyse mit Mikrocontrollern

Audiospektrum- Analyse mit Mikrocontrollern PC & ELEKTRONIK Felix Irmscher Entwicklung und Programmierung in Assembler und LabVIEW Audiospektrum- Analyse mit Mikrocontrollern Analyseschaltungen mit dem ATmega644 einfach selbst entwickeln Auf CD-ROM:

Mehr

17 Architekturentwurf Vorgehen und Dokumentation

17 Architekturentwurf Vorgehen und Dokumentation 17 Architekturentwurf Vorgehen und Dokumentation 17.1 Einbettung Aber Erster Schritt der Lösung Wenn Anforderungsspezifikation vorliegt Vorgabe für Codierung Hierarchische Verzahnung von Anforderungen

Mehr

Implementation of a Framework Component for Processing Tasks within Threads on the Application Level

Implementation of a Framework Component for Processing Tasks within Threads on the Application Level Implementation of a Framework Component for Processing Tasks within Threads on the Application Level Deutsches Krebsforschungszentrum, for Processing Task within Threads on the Application Level Motivation

Mehr

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 Diese Frage kann und darf aus wettbewersrechtlichen Gründen die AGFS nicht beantworten. 24 F12 Siehe Chart Seite 43 F22 Grundsätzlich funktionieren

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Ein mobiler Electronic Program Guide

Ein mobiler Electronic Program Guide Whitepaper Telekommunikation Ein mobiler Electronic Program Guide Ein iphone Prototyp auf Basis von Web-Technologien 2011 SYRACOM AG 1 Einleitung Apps Anwendungen für mobile Geräte sind derzeit in aller

Mehr

Regelungs- und Systemtechnik 1. Kapitel 1: Einführung

Regelungs- und Systemtechnik 1. Kapitel 1: Einführung Regelungs- und Systemtechnik 1 Kapitel 1: Einführung Prof. Dr.-Ing. Pu Li Fachgebiet Simulation und Optimale Prozesse (SOP) Luft- und Raumfahrtindustrie Zu regelnde Größen: Position Geschwindigkeit Beschleunigung

Mehr

Pressekonferenz Vision Control 15. Juni 2004, AUTOMATICA München

Pressekonferenz Vision Control 15. Juni 2004, AUTOMATICA München Pressekonferenz Vision Control 15. Juni 2004, AUTOMATICA München VISION & CONTROL GmbH Pfütschbergstr. 14 D - 98527 Suhl Tel. ++49(0)3681 / 7974-0 Fax ++49(0)3681 / 7974-44 info@vision-control.com www.vision-control.com

Mehr

Arbeitsblätter. Sinnvolle Finanzberichte. Seite 19

Arbeitsblätter. Sinnvolle Finanzberichte. Seite 19 Seite 19 Arbeitsblätter Seite 20 Dieses Arbeitsblatt wird Sie Schritt für Schritt durch das Verfahren von Finanzanalysen geleiten. Sie gehen von Ihren Finanzberichten aus egal wie einfach oder hoch entwickelt

Mehr

Jan Parthey, Christin Seifert. 22. Mai 2003

Jan Parthey, Christin Seifert. 22. Mai 2003 Simulation Rekursiver Auto-Assoziativer Speicher (RAAM) durch Erweiterung eines klassischen Backpropagation-Simulators Jan Parthey, Christin Seifert jpar@hrz.tu-chemnitz.de, sech@hrz.tu-chemnitz.de 22.

Mehr

Finden Sie mit der AllatNet Recruiting Division Ihren Traum Job.

Finden Sie mit der AllatNet Recruiting Division Ihren Traum Job. Automotive, Nachrichtentechnik und weiteren Industriezweigen, erweitern wir Ihre Karrieremöglichkeiten Hardwareentwickler analoge Schaltungen (m/w) Referenz HW 2014 AS: Einsatzort: München, Stuttgart,

Mehr

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim

1. Einleitung. 2. Aufgabenstellung. R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim, Tiefenbronnerstraße 65, 75175 Pforzheim Methoden, Werkzeuge und Architekturen zum Entwurf und zur Realisierung von Signal- und Bildverarbeitungsalgorithmen für die Umsetzung in R. Bartholomä, Prof. F. Kesel, Prof. T. Greiner Fachhochschule Pforzheim,

Mehr

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung

Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Modellierung verteilter Systeme Grundlagen der Programm und Systementwicklung Wintersemester 2009/10 Prof. Dr. Dr. h.c. Manfred Broy Unter Mitarbeit von Dr. K. Spies, Dr. M. Spichkova, L. Heinemann, P.

Mehr

Erfahrungen mit Hartz IV- Empfängern

Erfahrungen mit Hartz IV- Empfängern Erfahrungen mit Hartz IV- Empfängern Ausgewählte Ergebnisse einer Befragung von Unternehmen aus den Branchen Gastronomie, Pflege und Handwerk Pressegespräch der Bundesagentur für Arbeit am 12. November

Mehr

PCB-Design für besondere Ansprüche.

PCB-Design für besondere Ansprüche. ENGINEERING ANSWERS PCB-Design für besondere Ansprüche. Zuverlässig, lieferantenunabhängig, günstig. PCB-DESIGN NIEDRIGSTE PRODUKTKOSTEN DURCH INTELLIGENTES DESIGN PCB-DESIGN Legen Sie Wert auf ein PCB-Design,

Mehr

Markus Pister (Autor) Integration formaler Fehlereinflussanalyse in die Funktionsentwicklung bei der Automobilindustrie

Markus Pister (Autor) Integration formaler Fehlereinflussanalyse in die Funktionsentwicklung bei der Automobilindustrie Markus Pister (Autor) Integration formaler Fehlereinflussanalyse in die Funktionsentwicklung bei der Automobilindustrie https://cuvillier.de/de/shop/publications/1145 Copyright: Cuvillier Verlag, Inhaberin

Mehr

IHS2 Seminar. Jorge Meza Zusebau R2082, Tel: -4128

IHS2 Seminar. Jorge Meza Zusebau R2082, Tel: -4128 Jorge Meza Zusebau R2082, Tel: -4128 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 14. Januar 2014 Self-Organization 14 January 2014 1 Nächster Termin Das letzte findet am

Mehr

Sicherheit in eingebetteten Systemen Luxus oder Notwendigkeit?

Sicherheit in eingebetteten Systemen Luxus oder Notwendigkeit? Sicherheit in eingebetteten Systemen Luxus oder Notwendigkeit? Dr.-Ing. Daniel Ziener Friedrich-Alexander-Universität Erlangen-Nürnberg, Lehrstuhl für Informatik 12 (Hardware-Software-Co-Design) daniel.ziener@fau.de

Mehr

Software-Engineering SS03. Zustandsautomat

Software-Engineering SS03. Zustandsautomat Zustandsautomat Definition: Ein endlicher Automat oder Zustandsautomat besteht aus einer endlichen Zahl von internen Konfigurationen - Zustände genannt. Der Zustand eines Systems beinhaltet implizit die

Mehr

Dokumentation, Analyse, Optimierung,

Dokumentation, Analyse, Optimierung, Dokumentation, Analyse, Optimierung, Automatisierung als gemeinsame Sprache für Business, Architektur und Entwicklung DOAG SIG BPM, Folie 1 Vortragende Software Engineer Dr. Projektleiter Folie 2 Zühlke:

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Konzepte der Informatik

Konzepte der Informatik Konzepte der Informatik Vorkurs Informatik zum WS 2011/2012 26.09. - 30.09.2011 17.10. - 21.10.2011 Dr. Werner Struckmann / Christoph Peltz Stark angelehnt an Kapitel 1 aus "Abenteuer Informatik" von Jens

Mehr

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR

ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR Robuste Strom-Phasenwinkelmessung für CPS-Frequenzumrichter... 1 ROBUSTE STROM-PHASENWINKELMESSUNG FÜR CPS-FREQUENZUMRICHTER MIT... OPTIMIERTEM AUSGANGS-LEISTUNGSFAKTOR R. Czainski 1 EINLEITUNG Frequenzumrichter

Mehr

1. Einführung. 2. Alternativen zu eigenen Auswertungen. 3. Erstellen eigener Tabellen-Auswertungen

1. Einführung. 2. Alternativen zu eigenen Auswertungen. 3. Erstellen eigener Tabellen-Auswertungen 1. Einführung Über die Tabellen-Auswertungen können Sie eigene Auswertungen nach Ihren Wünschen erstellen. Diese Auswertungen werden immer anhand der aktuellen Daten aus orgamax ermittelt, Sie können also

Mehr

Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen

Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen PRESSEINFORMATION Simulation erhöht Ausbringung Durch die virtuelle Optimierung von Werkzeugen am Computer lässt sich die reale Produktivität von Servopressen erhöhen Göppingen, 04.09.2012 Pressen von

Mehr

Funktionale Sicherheit Testing unter

Funktionale Sicherheit Testing unter Funktionale Sicherheit Testing unter den Bedingungen der Safety Integrity Levels Präsentation auf dem Neu-Ulmer Test-Engineering Day Sebastian Stiemke, MissingLinkElectronics, Neu-Ulm 1 Inhalt Idee hinter

Mehr

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7

Pflichtenheft. Projektteam. Rexford Osei - Frey Michael Weichert Thomas Thutewohl. Pflichtenheft Seite 1 von 7 Pflichtenheft Projektteam Rexford Osei - Frey Michael Weichert Thomas Thutewohl Pflichtenheft Seite 1 von 7 Inhaltsverzeichnis Allgemeines Seite 3 Projektteam, Auftraggeber, Betreuer Projektbeschreibung

Mehr

Objektorientierter Software-Entwurf Grundlagen 1 1. Analyse Design Implementierung. Frühe Phasen durch Informationssystemanalyse abgedeckt

Objektorientierter Software-Entwurf Grundlagen 1 1. Analyse Design Implementierung. Frühe Phasen durch Informationssystemanalyse abgedeckt Objektorientierter Software-Entwurf Grundlagen 1 1 Einordnung der Veranstaltung Analyse Design Implementierung Slide 1 Informationssystemanalyse Objektorientierter Software-Entwurf Frühe Phasen durch Informationssystemanalyse

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Referenz-Konfiguration für IP Office Server. IP Office 8.1

Referenz-Konfiguration für IP Office Server. IP Office 8.1 Referenz-Konfiguration für IP Office Server Edition IP Office 8.1 15-604135 Dezember 2012 Inhalt Kapitel 1: Einführung... 5 Zweck des Dokuments... 5 Zielgruppe... 5 Zugehörige Dokumente... 5 Kapitel 2:

Mehr

Einführung in die Systemprogrammierung

Einführung in die Systemprogrammierung Einführung in die Systemprogrammierung Speedup: Grundlagen der Performanz Prof. Dr. Christoph Reichenbach Fachbereich 12 / Institut für Informatik 30. April 2015 Eine Aufgabe aus der Praxis Gegeben ein

Mehr

Sensor board EB003-00-2

Sensor board EB003-00-2 Sensor board EB003-00-2 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...4 4. Schaltungsbeschreibung...5 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Functional Safety. Systems Engineering als Schlüsseldisziplin in Projekten mit funktionaler Sicherheit

Functional Safety. Systems Engineering als Schlüsseldisziplin in Projekten mit funktionaler Sicherheit Systems Engineering als Schlüsseldisziplin in Projekten mit funktionaler Sicherheit Mittelstraße 25/1 88471 Laupheim Fon: 07392-9393525 Fax: 07392-9393526 Mailto: tf@thomasfranzen.com Beispiele nicht sicherer

Mehr

Optimierung und Fertigung eines Bogenmittelteils aus einer Magnesiumlegierung

Optimierung und Fertigung eines Bogenmittelteils aus einer Magnesiumlegierung 363 Optimierung und Fertigung eines Bogenmittelteils aus einer Magnesiumlegierung Jürgen Edelmann-Nusser 1 (Projektleiter), Sándor Vajna 2 & Konstantin Kittel 2 1 Universität Magdeburg, Institut für Sportwissenschaft

Mehr

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über Güte von s Grundlegendes zum Konzept der Güte Ableitung der Gütefunktion des Gauss im Einstichprobenproblem Grafische Darstellung der Gütefunktionen des Gauss im Einstichprobenproblem Ableitung der Gütefunktion

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Systeme 1. Kapitel 10. Virtualisierung

Systeme 1. Kapitel 10. Virtualisierung Systeme 1 Kapitel 10 Virtualisierung Virtualisierung Virtualisierung: Definition: Der Begriff Virtualisierung beschreibt eine Abstraktion von Computerhardware hin zu einer virtuellen Maschine. Tatsächlich

Mehr

Motivation. Eingebettetes System: Aufgabe:

Motivation. Eingebettetes System: Aufgabe: Motivation n Aufgabe: n Eingebettetes System: Computersystem, das in einen technischen Kontext eingebettet ist - also ein Computer, der ein technisches System steuert oder regelt. Das sind z.b. das Antiblockiersystem,

Mehr

Daniel Warneke warneke@upb.de 08.05.2006. Ein Vortrag im Rahmen des Proseminars Software Pioneers

Daniel Warneke warneke@upb.de 08.05.2006. Ein Vortrag im Rahmen des Proseminars Software Pioneers Design Patterns Daniel Warneke warneke@upb.de 08.05.2006 Ein Vortrag im Rahmen des Proseminars Software Pioneers Design Patterns 1/23 Übersicht Einleitung / Motivation Design Patterns Beispiele Rolle des

Mehr

Human-Computer-Interaction und Psychologie Aufgaben- und Kontextanalyse

Human-Computer-Interaction und Psychologie Aufgaben- und Kontextanalyse Human-Computer-Interaction und Psychologie Aufgaben- und Kontextanalyse Überblick Kontextanalyse Aufgabenanalyse Basisinformationen Weiterführende Informationen und Beispiele zur Aufgabenanalyse Analyse

Mehr

BPM im Kontext von Unternehmensarchitekturen. Konstantin Gress

BPM im Kontext von Unternehmensarchitekturen. Konstantin Gress BPM im Kontext von Unternehmensarchitekturen Konstantin Gress Agenda 1 Worum geht s BPM, EA und SOA im Überblick 2 Link zwischen EA und BPM 3 Link zwischen SOA und BPM 4 Wie spielt das zusammen? 5 Q&A

Mehr

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor Produktbeschreibung 8x230VAC - Eingangsadapter Projekt HomeAutomationSystem Edition V0.1 Author Dipl.-Ing. Pankraz Viktor Copyright Viktor Pankraz 2016 All rights reserved Fragen und Anregungen Sie haben......

Mehr

Mehr Interaktion! Aber einfach und schnell!

Mehr Interaktion! Aber einfach und schnell! Mehr Interaktion! Aber einfach und schnell! Dirk Böning-Corterier, Oliver Meinusch DB Systel GmbH Frankfurt am Main Schlüsselworte Interaktion, Umfrage, Wand, Impulse, Voting, Abfrage, APEX Einleitung

Mehr

Lösungsvorschlag zur 4. Übung

Lösungsvorschlag zur 4. Übung Prof. Frederik Armknecht Sascha Müller Daniel Mäurer Grundlagen der Informatik 3 Wintersemester 09/10 Lösungsvorschlag zur 4. Übung 1 Präsenzübungen 1.1 Schnelltest a) Welche Aussagen zu Bewertungskriterien

Mehr

4D Server v12 64-bit Version BETA VERSION

4D Server v12 64-bit Version BETA VERSION 4D Server v12 64-bit Version BETA VERSION 4D Server v12 unterstützt jetzt das Windows 64-bit Betriebssystem. Hauptvorteil der 64-bit Technologie ist die rundum verbesserte Performance der Anwendungen und

Mehr

Übung Theoretische Grundlagen

Übung Theoretische Grundlagen Übung Theoretische Grundlagen Berechenbarkeit/Entscheidbarkeit Nico Döttling November 26, 2009 INSTITUT FÜR KRYPTOGRAPHIE UND SICHERHEIT KIT University of the State of Baden-Wuerttemberg and National Laboratory

Mehr

Beschreibung der Umstellungsschritte für moneyplex (neue Benutzerkennung und Kommunikationsadresse)

Beschreibung der Umstellungsschritte für moneyplex (neue Benutzerkennung und Kommunikationsadresse) Beschreibung der Umstellungsschritte für moneyplex (neue Benutzerkennung und Kommunikationsadresse) (Sicherungsmedium HBCI) Bitte beachten Sie, dass wir alle Leitfäden nach bestem Wissen erstellt haben

Mehr

Es war einmal... "StudyING: Welten bewegen - Welten gestalten"

Es war einmal... StudyING: Welten bewegen - Welten gestalten Computer, generiere! Christian Schröder Fachbereich Elektrotechnik und Informationstechnik Fachhochschule Bielefeld christian.schroeder@fh-bielefeld.de Es war einmal... Es war einmal... ein Bauvorhaben!

Mehr

Agile Vorgehensmodelle in der Softwareentwicklung: Scrum

Agile Vorgehensmodelle in der Softwareentwicklung: Scrum C A R L V O N O S S I E T Z K Y Agile Vorgehensmodelle in der Softwareentwicklung: Scrum Johannes Diemke Vortrag im Rahmen der Projektgruppe Oldenburger Robot Soccer Team im Wintersemester 2009/2010 Was

Mehr

Grundlagen des Software Engineering

Grundlagen des Software Engineering Grundlagen des Software Engineering Teil 1: SW-Management Fachrichtung Wirtschaftsinformatik FB Berufsakademie der FHW Berlin Prof. Dr. Gert Faustmann Motivation des Risikomanagements Ungefähr 80 Prozent

Mehr

Wahlfachtopf Mikroelektronik für die Studienrichtung Mechatronik (Diplomstudium, Kennzahl 716)

Wahlfachtopf Mikroelektronik für die Studienrichtung Mechatronik (Diplomstudium, Kennzahl 716) Wahlfachtopf Mikroelektronik für die Studienrichtung Mechatronik (Diplomstudium, Kennzahl 716) B. Jakoby, Institut für Mikroelektronik und Mikrosensorik Themen, Schlagworte zum Wahlfachtopf Elektronik

Mehr

emlp: e-learning Plattform und mobile Lösungen für Military Operations EUROKEY Software GmbH Ludwig Kuhn

emlp: e-learning Plattform und mobile Lösungen für Military Operations EUROKEY Software GmbH Ludwig Kuhn emlp: e-learning Plattform und mobile Lösungen für Military Operations EUROKEY Software GmbH Ludwig Kuhn Agenda emlp e & mlearning Publisher Military Operations emlp WEBauthor Erstellen einer Präsentation

Mehr

Fachartikel. Point-of-Load-Leistungsmodul als vorteilhafte und kosteneffiziente. Alternative zu diskreten Lösungen

Fachartikel. Point-of-Load-Leistungsmodul als vorteilhafte und kosteneffiziente. Alternative zu diskreten Lösungen Ref.: MPS360A Murata Power Solutions www.murata-ps.com Fachartikel Point-of-Load-Leistungsmodul als vorteilhafte und kosteneffiziente Alternative zu diskreten Lösungen Autor: Henry Lee, Product Marketing

Mehr

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung-

Win-Digipet V 9.2 Premium Edition Wie bastele ich mir steuerbare Kontakte. Wie bastele ich mir steuerbare Kontakte? -Quick-And-Dirty-Lösung- ? -Quick-And-Dirty-Lösung- Vorwort Nach Anfragen aus dem Win-Digipet-Forum möchte ich folgende Quick-And-Dirty-Lösung vorstellen mit der man sich mal eben virtuelle Kontakte erstellen kann. Vorweg muß

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Informationsblatt Induktionsbeweis

Informationsblatt Induktionsbeweis Sommer 015 Informationsblatt Induktionsbeweis 31. März 015 Motivation Die vollständige Induktion ist ein wichtiges Beweisverfahren in der Informatik. Sie wird häufig dazu gebraucht, um mathematische Formeln

Mehr

SysInventor. Jakobstr. 64 D-78464 Konstanz. Kontakt: info1@sysinventor.de. Phone +49 (0) 7531 35116 Fax +49 (0) 7531 35116

SysInventor. Jakobstr. 64 D-78464 Konstanz. Kontakt: info1@sysinventor.de. Phone +49 (0) 7531 35116 Fax +49 (0) 7531 35116 Jakobstr. 64 D-78464 Konstanz SysInventor Kontakt: info1@sysinventor.de Phone +49 (0) 7531 35116 Fax +49 (0) 7531 35116 Udo Wesseler, Dipl.-Inf. Dr. Claus Braxmaier, Dipl-Phys. & Dipl.-Ing. (FH) Wir sind......ein

Mehr

Vorlesung Embedded Software-Engineering im Bereich Automotive

Vorlesung Embedded Software-Engineering im Bereich Automotive Vorlesung Embedded Software-Engineering im Bereich Automotive Technische Universität Dresden, Fakultät Informatik, Professur Softwaretechnologie WS 2008/2009 Dr. rer. nat. Bernhard Hohlfeld bernhard.hohlfeld@daad-alumni.de

Mehr

OSEK-OS. Oliver Botschkowski. oliver.botschkowski@udo.edu. PG AutoLab Seminarwochenende 21.-23. Oktober 2007. AutoLab

OSEK-OS. Oliver Botschkowski. oliver.botschkowski@udo.edu. PG AutoLab Seminarwochenende 21.-23. Oktober 2007. AutoLab OSEK-OS Oliver Botschkowski oliver.botschkowski@udo.edu PG Seminarwochenende 21.-23. Oktober 2007 1 Überblick Einleitung Motivation Ziele Vorteile Einführung in OSEK-OS Architektur Task Management Interrupt

Mehr

Erstellung von Reports mit Anwender-Dokumentation und System-Dokumentation in der ArtemiS SUITE (ab Version 5.0)

Erstellung von Reports mit Anwender-Dokumentation und System-Dokumentation in der ArtemiS SUITE (ab Version 5.0) Erstellung von und System-Dokumentation in der ArtemiS SUITE (ab Version 5.0) In der ArtemiS SUITE steht eine neue, sehr flexible Reporting-Funktion zur Verfügung, die mit der Version 5.0 noch einmal verbessert

Mehr

Studienrichtung Eingebettete Systeme

Studienrichtung Eingebettete Systeme Qualifikationsziel Eingebettete Systeme stellen ein äußerst wichtiges Anwendungsfeld der Methoden der Informatik dar. Unter einem eingebetteten System versteht man dabei ein (Mikro-) Computersystem, das

Mehr

The Modular Structure of Complex Systems. 30.06.2004 Seminar SoftwareArchitektur Fabian Schultz

The Modular Structure of Complex Systems. 30.06.2004 Seminar SoftwareArchitektur Fabian Schultz The Modular Structure of Complex Systems 1 Modularisierung Vorteile Organisation Mehrere unabhängig Teams können gleichzeitig arbeiten Flexibilität Änderung einzelner Module Verständlichkeit Nachteile

Mehr

Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst

Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst Sudoku-Informatik oder wie man als Informatiker Logikrätsel löst Peter Becker Hochschule Bonn-Rhein-Sieg Fachbereich Informatik peter.becker@h-brs.de Kurzvorlesung am Studieninformationstag, 13.05.2009

Mehr

KTS KOMMUNIKATIONSTECHNIK & SYSTEME

KTS KOMMUNIKATIONSTECHNIK & SYSTEME KTS KOMMUNIKATIONSTECHNIK & SYSTEME KTS ist ein innovatives Unternehmen im Bereich der Elektronikentwicklung Im Zentrum steht die Entwicklung und Produktion von komplexen RFID Systemen. Diese Systeme erstrecken

Mehr

Grundlagen Software Engineering

Grundlagen Software Engineering Grundlagen Software Engineering Rational Unified Process () GSE: Prof. Dr. Liggesmeyer, 1 Rational Unified Process () Software Entwicklungsprozess Anpassbares und erweiterbares Grundgerüst Sprache der

Mehr

LIFO -Stärkenmanagement: Übungen und Spiele

LIFO -Stärkenmanagement: Übungen und Spiele LIFO -Stärkenmanagement: Übungen und Spiele Kirsten Möbius Mai 2011 1 Inhaltsangabe Übung zur Interpretation des LIFO - Fragebogens Übung zur Vertiefund der LIFO - Stile (Aufstellung) Übung zur Vertiefung

Mehr

Einfache Varianzanalyse für abhängige

Einfache Varianzanalyse für abhängige Einfache Varianzanalyse für abhängige Stichproben Wie beim t-test gibt es auch bei der VA eine Alternative für abhängige Stichproben. Anmerkung: Was man unter abhängigen Stichproben versteht und wie diese

Mehr

Wiederverwendung von automotive Software- Reifegradmodell, Technologie, Praxisbericht

Wiederverwendung von automotive Software- Reifegradmodell, Technologie, Praxisbericht Wiederverwendung von automotive - Reifegradmodell, Technologie, Praxisbericht Dr. Thomas Zurawka, HdT Elektronik im Kfz, Dresden, 24.06.2009 ECU SW Architektur & SW Entwicklungsprozess Anforderungs- Analyse

Mehr

Dokumentenverwaltung im Internet

Dokumentenverwaltung im Internet Dokumentenverwaltung im Internet WS 09/10 mit: Thema: Workflow und Rollenverteilung im Backend Gruppe: DVI 10 Patrick Plaum und Kay Hofmann Inhalt 1. Benutzer und Benutzergruppen erstellen...2 1.1. Benutzergruppen...2

Mehr

Grundlagen der Theoretischen Informatik, SoSe 2008

Grundlagen der Theoretischen Informatik, SoSe 2008 1. Aufgabenblatt zur Vorlesung Grundlagen der Theoretischen Informatik, SoSe 2008 (Dr. Frank Hoffmann) Lösung von Manuel Jain und Benjamin Bortfeldt Aufgabe 2 Zustandsdiagramme (6 Punkte, wird korrigiert)

Mehr

DSO. Abtastrate und Speichertiefe

DSO. Abtastrate und Speichertiefe DSO Abtastrate und Speichertiefe Inhalt Inhalt...- 1 - Feine Signaldetails und lange Abtastzeiträume...- 2 - Was ein großer Speicher bewirkt...- 2 - Einfluss der Oszilloskop-Architektur auf die Update-Rate...-

Mehr

Wichtige Themen für die Strategie der Fachverlage (n = 58; Mehrfachnennungen)

Wichtige Themen für die Strategie der Fachverlage (n = 58; Mehrfachnennungen) Kommission Digitale Medien der Deutschen Fachpresse Blitz-Umfrage Thema: Entwicklung Digitaler Produkte in Fachverlagen Aussendung des Fragebogens an 368 Online-Beauftragte von Mitgliedsverlagen Rücklaufquote:

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

Transfer von Prozessen des Software-Produktlinien Engineering in die Elektrik/Elektronik- Architekturentwicklung von Fahrzeugen

Transfer von Prozessen des Software-Produktlinien Engineering in die Elektrik/Elektronik- Architekturentwicklung von Fahrzeugen Transfer von Prozessen des Software-Produktlinien Engineering in die Elektrik/Elektronik- entwicklung von Fahrzeugen Martin Jaensch, Dr. Bernd Hedenetz, Markus Conrath Daimler AG Prof. Dr. Klaus D. Müller-Glaser

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr