GTI ÜBUNG 11 SCHALTWERK, AUTOMATEN, SCHIEBEREGISTER

Größe: px
Ab Seite anzeigen:

Download "GTI ÜBUNG 11 SCHALTWERK, AUTOMATEN, SCHIEBEREGISTER"

Transkript

1 1 GTI ÜBUNG 11 SCHALTWERK, AUTOMATEN, SCHIEBEREGISTER

2 Aufgae 1 Schieeregister 2 Das Bild zeigt einen sog. Johnson-Zähler, ei dem das invertierte Signal q 0 an den Eingang D angeschlossen wird.

3 Aufgae 2 Schaltwerk 3 D-Flipflop o Eliminiert ungültiges Eingangstupel (1,1) o Hat folgende charakteristische Taelle: T D Q(t+1) (Reset) (Set) 0 - Q(t) (kein Wechsel) o D (Data): zu speichernder Wert o C/T (Takt): synchrones Flipflop

4 Aufgae 1 Schieeregister 4 Der aktuelle Wert der Speicherzellen sei 000. Stellen Sie die Folge der Speicherinhalte als gerichteten Graphen dar. Hinweis: Jeden Takt wird das Wort ein Bit nach Rechts geshiftet

5 Aufgae 1 Schieeregister 5 Der aktuelle Wert der Speicherzellen sei 000. Stellen Sie die Folge der Speicherinhalte als gerichteten Graphen dar

6 Aufgae 1 Schieeregister 6 Eine schlankere, visuelle Darstellung

7 Aufgae 1 Schieeregister 7 Welche Speicherelegungen kommen in der Folge nicht vor? Vervollständigen Sie den Graphen aus a) entsprechend.

8 Aufgae 1 Schieeregister und 010 kommen in der Zählfolge nicht vor

9 Aufgae 1 Schieeregister 9 Realisieren Sie ein Schaltnetz, welches aus allen N Zuständen des rückgekoppelten Schieeregisters aus Aufgaenteil a) ein Lauflicht für N einzelne Lampen L 1 L N ansteuern kann. Sie können dazu auf die Ausgangswerte q 0, q 1, q 2 sowie deren negierte Signale zurückgreifen. Beginnen Sie mit einer Wahrheitstaelle.

10 Aufgae 1 Schieeregister 10 Realisieren Sie ein Schaltnetz, welches aus allen N Zuständen des rückgekoppelten Schieeregisters aus Aufgaenteil a) ein Lauflicht für N einzelne Lampen L 1 L N ansteuern kann. Sie können dazu auf die Ausgangswerte q 0, q 1, q 2 sowie deren negierte Signale zurückgreifen. Alle N Zustände Lauflicht q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L 3 L 4 L 5 L

11 Aufgae 1 Schieeregister 11 Schaltfunktionen nach ekannten Muster Wichtig: durch die eiden nicht genutzten Zustände haen wir zwei Don t Cares q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L 3 L 4 L 5 L

12 Aufgae 1 Schieeregister 12 L 1-3 mittels Symmetriediagramm q 0 q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L q 1 q q 2 q q 0 q L 1 = q 0 q 2 = q 0 + q 2 L 2 = q 1 q 2 = q 1 + q 2 q L 3 = q 0 q 1 = q 0 + q 1 q 2

13 Aufgae 1 Schieeregister 13 L 4-6 mittels Symmetriediagramm q 0 q 2 q 1 q 0 q 2 q 1 q 0 L 4 L 5 L q q 0 q q q 0 q L 4 = q 0 q 2 = q 0 + q 2 L 5 = q 2 q 1 = q 2 + q 1 q L 6 = q 1 q 0 = q 1 + q 0 q 2

14 Aufgae 1 Schieeregister 14 Schaltung mit NORs Statt ezauernder NORs können natürlich auch ANDs verwendet werden L 1 = q 0 q 2 = q 0 + q 2 L 2 = q 1 q 2 = q 1 + q 2 L 3 = q 0 q 1 = q 0 + q 1 L 4 = q 0 q 2 = q 0 + q 2 L 5 = q 2 q 1 = q 2 + q 1 L 6 = q 1 q 0 = q 1 + q 0

15 Aufgae 2 Schaltwerk 15 Beschreiung Es soll eine synchrone Schaltung mit den Zuständen A, B, C, D entworfen werden. Sie soll ahängig von den Eingangssignalen R (Reset) und V (Vorwärts)* für die Belegung: R = 0, V = 1 den Zyklus A B C D A B - durchlaufen und für R = 0, V = 0 den Zyklus A D C B A D - durchlaufen und für R = 1 unahängig von V in den Zustand A gehen Hinweis: dieses Verhalten ist vollständig spezifiziert * Aus Gründen der Konsistenz wäre hier ein F (Forward) angeracht. Ich interpretiere R nun als Rücksetzen, dann passen die Buchstaen

16 Aufgae 2 Schaltwerk 16 o Schaltnetz Ausgae ergit sich unmittelar als Funktion der Eingaewerte o o Schaltwerk Besitzt als zeitliche Komponente Zustände Ausgae hängt vom jeweiligen Zustand a o o Vollständig spezifiziert (v. s.) Ein Automat ist v. s., wenn jeder Zustand v. s. ist Ein Zustand ist v. s., wenn das Verhalten für alle möglichen Eingaen spezifiziert ist, d.h. wenn eine Üergangsfunktion für jede Eingae eine Ausgae liefert

17 Aufgae 2 Schaltwerk 17 Zeichnen Sie das Zustandsdiagramm Hinweis: Das ist die visuelle Darstellung eines Automaten

18 Aufgae 2 Schaltwerk 18 Das Zustandsdiagramm o Zustände (Q): dargestellt durch Kreise mit eindeutiger Bezeichnung i1 o Anfangszustand (q 0 ): dargestellt durch einen Pfeil ohne Quelle i1 o Üergangsfunktion: dargestellt als Zustände verindender Pfeil Eingaekomination wird üer dem Pfeil notiert i1 A + B i1 In Aussagenlogik (Minimierung!)

19 Aufgae 2 Schaltwerk 19 R = 0, V = 1 den Zyklus A B C D A B - R = 0, V = 0 den Zyklus A D C B A D - R = 1 unahängig von V in den Zustand A gehen V R V R R R V R + R V R A B V R + R V R R V R V R D C V R Wir minimieren noch unsere aussagenlogischen Ausdrücke: R V R + R = V + R 1 1 V 1 0 V R + R = V + R V R V R

20 Aufgae 2 Schaltwerk 20 R = 0, V = 1 den Zyklus A B C D A B - R = 0, V = 0 den Zyklus A D C B A D - R = 1 unahängig von V in den Zustand A gehen V R V R R R A V R V R + R = V + R B V R + R = V + R R V R V R V R D V R V R C

21 Denkpause Ameisen auf einer Stange 1000 Ameisen efinden sich auf einer Stange, auf der sie nur geradeaus oder rückwärts laufen können. Alle Ameisen laufen mit konstanter Geschwindigkeit und gleich schnell. Wenn 2 Ameisen gegeneinanderstoßen, wechseln eide die Richtung. Wenn man eine Ameise auf eine Stange setzt läuft sie in eine zufällige Richtung und raucht maximal 1 Minute is sie an den Rand kommt, wo sie runterfällt. Wie lange raucht es maximal is alle Ameisen runtergefallen sind, wenn man 1000 auf eine Stange setzt?

22 Denkpause Ameisen auf einer Stange Antwort: Sie rauchen auch nur eine Minute! Weil mich ja nur interessiert, wann alle Ameisen runtergefallen sind, rauche ich die einzelnen Ameisen nicht zu unterscheiden. Und wenn zwei gleich schnelle Ameisen, die ich nicht unterscheide, ei einem Zusammenstoß die Richtung ändern, ist das genau so, als würden sie völlig uneeindruckt durcheinander durchlaufen und ihre Richtung eiehalten. Damit dauert es, is alle 1000 unten sind, genau so lange, wie eine Ameise maximal raucht, also eine Minute. Bumm

23 Aufgae 2 Schaltwerk 23 Zeichnen Sie die Automatentafel Hinweis: Das ist die taellarische Darstellung eines Automaten. Wichtig ist, dass alle möglichen Zustandsüergänge vorkommen.

24 Aufgae 2 Schaltwerk 24 Die Automatentafel Wichtig: Zustände Werden codiert Die Eingaemenge muss vollständig sein, d.h. alle Üergänge müssen in der Taelle ageildet sein. Hier gilt es geschickt zu selektieren (manche Üergänge lassen sich vereinfachen) ZUSTAND EINGABE 1 EINGABE 2 EINGABE 3 q 0 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 1 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 2 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 3 q n+1 für E1 q n+1 für E2 q n+1 für E3

25 Aufgae 2 Schaltwerk 25 Zeichnen Sie die Automatentafel Wir haen folgende Üergangsfunktionen: V R, V R, R, V + R, V + R Die letzten eiden Üergänge werden aer durch das R geschluckt (vgl. Primtermselektion) Zustand Codierung V R V R R A (0, 0) D (1,1) B (0,1) A (0,0) B (0, 1) A (0,0) C (1,0) A (0,0) C (1, 0) B (0,1) D (1,1) A (0,0) D (1,1) C (1,0) A (0,0) A (0,0) Ganz genau: R (V/ V)

26 Aufgae 2 Schaltwerk 26 Realisieren Sie nun die Schaltung mit zwei D-Flipflops und unter ausschließlicher Verwendung von 2:1-Multiplexern. Hinweis: Zuerst die Schaltfunktion estimmen. Dazu die Automatentafel erweitern. Dann mittels Entwicklungssatz nach Shannon in eine Multiplexer-Form ringen

27 Aufgae 2 Schaltwerk 27 Nun ausführlicher wie in der letzten Üung! Nächster Zustand: D-Flipflops Oktal Zustand R V D 2 D / V R V R R / V R V R R / V R V R R / V R V R R

28 Aufgae 2 Schaltwerk 28 Schaltfunktion D 1 Oktal q 2 q 1 R V D / / / / R V q D 2 = V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 q 2

29 Aufgae 2 Schaltwerk 29 Schaltfunktion D 2 Oktal q 2 q 1 R V D V 2/ / R q 2 12/ q D 1 = Rq 1 16/

30 Aufgae 2 Schaltwerk 30 Anwenden des Entwicklungssatzes Ein 2:1 Multiplexer differenziert zwischen zwei Eingängen. Mittels des Entwicklungssatzes können Funktionen auf eine 2-Fälle-Form geracht werden: D 2 = V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 nach R = R 0 + R[Vq 1 q 2 + Vq 1 q 2 + Vq 1 q 2 + Vq 1 q 2 ] nach V = R 0 + R[V(q 1 q 2 + q 1 q 2 ) + V(q 1 q 2 + q 1 q 2 )] nach z 0 = R 0 + R V q 1 q 2 + q 1 q 2 + V q 1 q 2 + q 1 q 2 D 1 = Rq 1 = R 0 + R(q 1 )

31 Aufgae 2 Schaltwerk 31 Realisieren in Hardware D 2 = R 0 + R V q 1 q 2 + q 1 q 2 + V q 1 q 2 + q 1 q 2 D 1 = R 0 + R(q 1 ) D 2 Q q 2 0 c Q q 2 z 0 V R D 1 Q q 1 0 c Q q 1 Clock

32 Aufgae 3 Automaten 32 Beschreiung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armanduhr, der eines von vier internen Registern auf dem Display anzeigt. Die Auswahl des Registers erfolgt durch einen 4:1-Multiplexer, dessen Kontrolleingänge mit s 1 und s 0 ezeichnet werden. Die Register entsprechen den aktuellen Werten der Uhrzeit (s 1 s 0 = 00), der Alarmeinstellung (01), des Datums (10) und der Stoppuhr (11). Durch wiederholtes Drücken des Knopfes soll es möglich sein die vier Register in der oen genannten Reihenfolge zyklisch auszulesen. Gehen Sie davon aus, dass durch Drücken des Knopfes der Wert von synchron zum Takt für eine Taktperiode auf 1 gesetzt wird. Zusätzlich soll der Wechsel des Registers durch einen höraren Ton angezeigt werden, indem der Ausgang p ei jedem Drücken des Knopfes für eine Taktperiode auf 1 gesetzt wird.

33 Aufgae 3 Automaten 33 Schaltlock eines Automaten o e t : Eingae e zum Zeitpunkt t o s t /s t+1 : Zustand s zum Zeitpunkt und eine Zeiteinheit später o δ: Zustandsfunktion (Gatter vor den Flipflops (Zustand)) o λ: Ausgaefunktion (Gatter nach den Flipflops (Zustand)) o a t : Ausgae a zum Zeitpunkt t Automatentypen Mealy: o Ausgae hängt vom jeweiligen Zustand und der Eingae a o a t = λ(e t, s t )

34 Aufgae 3 Automaten 34 Automatentypen Moore: o Ausgae hängt alleine vom Zustand a o a t = λ(s t ) o Spezialfall des Mealy-Automaten Medwedew: o o o Ausgae ist der Zustand selst a t = s t D.h. es wird keine Funktion mehr auf den Zustand angewandt, sondern direkt z.b. q 1 ausgegeen

35 Aufgae 3 Automaten 35 Modellieren Sie den Zustandsautomat als Moore-Automat Hinweis: die Ausgae hängt nur vom Zustand a, nicht von der Eingae Wichtig: o o Jeder Zustand wird nur einen Takt angenommen dann wird ahängig von der Eingae der nächste Zustand aufgesucht

36 Aufgae 3 Automaten 36 Textzerlegung 4:1-Multiplexer mit s 1 und s 0 Vier Register: Uhrzeit (s 1 s 0 = 00) Alarmeinstellung (01) Datums (10) Stoppuhr (11). Eingae : oen genannten Reihenfolge zyklisch auszulesen (U A D S) Beim Drücken synchron zum Takt für eine Taktperiode auf 1 Ausgang p: ei jedem Drücken () für eine Taktperiode auf 1 (symolisiert Ton)

37 Aufgae 3 Automaten 37 Zustände Wir haen also schon mal vier Zustände: Uhrzeit (s 1 s 0 = 00) Alarmeinstellung (01) Datums (10) Stoppuhr (11). Zeit 00 Alarm 01 Stopp 11 Datum 10

38 Aufgae 3 Automaten 38 Üergang (naiv) Eingae : oen genannten Reihenfolge zyklisch auszulesen (U A D S) eim Drücken synchron zum Takt für eine Taktperiode auf 1 Zeit 00 Alarm 01 Stopp 11 Datum 10

39 Aufgae 3 Automaten 39 Ausgae Ausgae p: ei jedem Drücken () für eine Taktperiode auf 1 Moore edeutet: Ausgae hängt nur vom Zustand a d.h. jeder Zustand legt die Ausgae fest Zeit 00 Alarm 01 Prolem: Jeder Zustand müsste erst 1 und einen Takt später 0 ausgeen -> geht nicht Stopp 11 Datum 10

40 Aufgae 3 Automaten 40 Ausgae Ausgae p: ei jedem Drücken () für eine Taktperiode auf 1 Lösung: Zwischenzustände, die nur einen Takt angenommen werden S2 11 P = 1 * Zeit 00 P = 0 Stopp 11 P = 0 * Z2 00 P = 1 D2 10 P = 1 * Alarm 01 P = 0 Datum 10 P = 0 * A2 01 P = 1

41 Aufgae 3 Automaten 41 Modellieren Sie den Zustandsautomat als Mealy-Automat Hinweis: die Ausgae hängt nur vom Zustand und der Eingae a Wichtig: o o Jeder Zustand wird einen Takt angenommen dann wird ahängig von der Eingae der nächste Zustand aufgesucht

42 Aufgae 3 Automaten 42 Werfen wir einen Blick auf den Moore-Automaten Die Ausgae eim Mealy-Automaten hängt von der Zustandsüergangsfunktion a und wird deshal auch dort notiert (nicht im Zustand). Wir sparen uns die Zwischenzustände. S2 11 P = 1 * Zeit 00 P = 0 Stopp 11 P = 0 * Z2 00 P = 1 D2 10 P = 1 * Alarm 01 P = 0 Datum 10 P = 0 * A2 01 P = 1

43 Aufgae 3 Automaten 43 Eliminieren der Zwischenzustände /00 p = 0 /01 p = 0 Zeit /01 p = 1 Alarm Stopp /00 p = 1 /11 p = 1 Datum /10 P = 1 /11 p = 0 /10 p = 0

44 Aufgae 3 Automaten 44 Welche Vorteile ietet die Realisierung des Zustandsautomats als Mealy-Automat und welche potentielle Proleme müssen eachtet werden? Hinweis: ist das Zeitverhalten gewährleistet?

45 Aufgae 3 Automaten 45 Welche Vorteile ietet die Realisierung des Zustandsautomats als Mealy-Automat und welche potentielle Proleme müssen eachtet werden? Vorteil: Nachteil: wir rauchen vier statt acht Zustände (weniger Flipflops) eventuelle Timing-Proleme ei der Ausgae Timing-Proleme entstehen dadurch, dass die Ausgae nicht mehr an einen Zustand geunden ist. Wir also durch Drücken und Loslassen von ei nicht koordinierten Zeitverhalten komische Rückmeldungen ekommen können.

46 Aufgae 3 Automaten 46 Weiteres wichtiges Beispiel eines Automaten

47 Vielen Dank für die schmeichelnde 47 Aufmerksamkeit Ao om varão, terras alheias pátria são. Strauss, Emanuel (1994) Dictionary of European provers (Volume 2 ed.). Routledge. p. 882 Weiterführendes zum Begriff Heimat:

GTI ÜBUNG 11. Schaltwerk, Automaten, Schieberegister FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

GTI ÜBUNG 11. Schaltwerk, Automaten, Schieberegister FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 GTI ÜBUNG 11 Schaltwerk, Automaten, Schieeregister FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1 AUFGABE 1 SCHIEBEREGISTER Das Bild zeigt einen sog. Johnson-Zähler, ei dem das invertierte

Mehr

GTI ÜBUNG 11 AUTOMATEN

GTI ÜBUNG 11 AUTOMATEN 1 GTI ÜBUNG 11 AUTOMATEN Aufgabe 1 Automaten 2 Beschreibung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armbanduhr, der eines von vier internen Registern auf dem Display

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen Rechnerstrukturen 3b. Endliche Automaten Ziele Modellierung und Realisierung von Steuerungen Beispiele Autoelektronik: ABS-System Consumer: Kamera, Waschmaschine, CD-Player, Steuerung technischer Anlagen

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Das Merkmalschema der Schaltalgebra ist genau so aufgebaut wie das der

Das Merkmalschema der Schaltalgebra ist genau so aufgebaut wie das der 3. Schaltalgera Das Merkmalschema der Schaltalgera ist genau so aufgeaut wie das der Aussagenalgera. Schaltalgera {1,0} oder, symolisch + und, symolisch 1 = Einselement 0 = Nullelement = Negation Die Bezeichnungen

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 11 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 11 AM 15.01.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt Klausurdauer: 90 Minuten Probeklausur: Grundlagen der Technischen Informatik Seite: 1 von 14 Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen Dies ist eine Klausur im Multiple-Choice Verfahren,

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : Digitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. Aufgabe 1 Gegeben sei folgende Schaltfunktion: y = a / b / c / d. Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug. d

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 20.2.2001 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) 1.1 Gleitkommazahlen: Gegeben sei eine 8-bit Gleitkommazahl-Darstellung

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 11 am 28.01.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT Lösungshinweise zur 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) ( Punkte) a) Welche Schaltblöcken können asynchron (ohne Takt) betrieben werden? Lösung:

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen Digitaltechnik Laborversuch Bitmustererkennung Name: vereinbarter Termin ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Digitaltechnik Übungsaufgaben. Sequentielle Schaltungen Mit Musterlösungen

Digitaltechnik Übungsaufgaben. Sequentielle Schaltungen Mit Musterlösungen DIGITALTECHNIK ÜBUNGEN WS 11/12 2 1 Digitaltechnik Übungsaufgaben Sequentielle Schaltungen Mit Musterlösungen 1. 2. 12 1. An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Übung zu Grundlagen der Technischen Informatik

Übung zu Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Multiplexer und De-Multiplexer

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil : igitale Logik Inhalt: oolesche lgebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grunlagen programmierbare logische austeine Technische Informatik I, SS 2 Sequentielle

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 3 Sequentielle

Mehr

6 Schaltwerke und endliche Automaten

6 Schaltwerke und endliche Automaten 6 Schaltwerke und endliche Automaten 6.1 Entwicklungsstufen 143 In diesem Abschnitt wird gezeigt, wie das Blockschaltbild aus 1.4 realisiert werden kann. Mithilfe der entwickelten Speicherbausteine und

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

Digitaltechnik Grundlagen 8. Register

Digitaltechnik Grundlagen 8. Register 8. Register Version 1.0 von 02/2018 Register Gliederung: - Grundlagen - Auffangregister - Schieberegister - Einführung - Seriell/Parallel- und Parallel/Seriell-Wandler [Quelle: Fricke, K.: Digitaltechnik,

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/28 2012-02-29 Flip-Flops Frage: wie kann man Werte speichern?

Mehr

Endliche Automaten. aus. Abbildung 1: Modell eines einfachen Lichtschalters

Endliche Automaten. aus. Abbildung 1: Modell eines einfachen Lichtschalters Endliche Automaten In der ersten Vorlesungswoche wollen wir uns mit endlichen Automaten eschäftigen. Um uns diesen zu nähern, etrachten wir zunächst einen einfachen Lichtschalter. Dieser kann an oder aus

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Grundlagen der Technischen Informatik. 9. Übung

Grundlagen der Technischen Informatik. 9. Übung Grundlagen der Technischen Informatik 9. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 9. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Aufgabe 4: CMOS-Gatterschaltungen PAL-Implementierung

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

VU Grundlagen digitaler Systeme

VU Grundlagen digitaler Systeme VU Grundlagen digitaler Systeme Übung 4. Übung 183.580, 2014W Übungsgruppen: Fr., 05.12.2014 Hinweis: Verwenden Sie für Ihre Lösungen keinen Taschenrechner und geben Sie die einzelnen Lösungsschritte an,

Mehr

Vollsynchrone Mehrfunktionsregister

Vollsynchrone Mehrfunktionsregister VOLLSYNCHRONE MEHRFUNKTIONSREGISTER 1 Vollsynchrone Mehrfunktionsregister Mehrfunktionsregister können verschiedene Funktionen ausführen (Daten parallel übernehmen, in verschiedene Richtungen schieben,

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

7.0 Endliche Zustandsautomaten und Steuerwerke

7.0 Endliche Zustandsautomaten und Steuerwerke 7.0 Endliche Zustandsautomaten und Steuerwerke Die Ziele dieses Kapitels sind: Aufbau und Funktionsweise von Schaltwerken zu verstehen Verschiedene Realisierungsmöglichkeiten von Schaltwerken mittels Zustandsautomaten

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 WS 2012/13 Sequenzielle Schaltungen Einleitung (Wiederholung) Modellierung mit Automaten Synchrone Schaltwerke Einleitung Flip-Flops Schaltwerk-Entwurf Einleitung von Neumann-Addierwerk Hinweis: Folien

Mehr

Endliche Automaten. Im Hauptseminar Neuronale Netze LMU München, WS 2016/17

Endliche Automaten. Im Hauptseminar Neuronale Netze LMU München, WS 2016/17 Endliche Automaten Im Hauptseminar Neuronale Netze LMU München, WS 2016/17 RS- Flipflop RS-Flipflop Ausgangszustand 0 1 0 1 0 1 Set Reset neuer Zustand 0 0 0 0 0 1 1 0 1 1 0 1 0 1 0 0 1 0 Was ist ein endlicher

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Lösungsskizzen Mathematik für Informatiker 5. Aufl. Kapitel 11 Peter Hartmann

Lösungsskizzen Mathematik für Informatiker 5. Aufl. Kapitel 11 Peter Hartmann Lösungsskizzen Mathematik für Informatiker 5. Aufl. Kapitel Verständnisfragen. Ein zusammenhängender Graph ist ein Baum, wenn er einen Knoten mehr hat als Kanten. Warum ist in diesem Satz der Zusammenhang

Mehr

Extremwertaufgaben mit zwei Variablen

Extremwertaufgaben mit zwei Variablen Extremwertaufgaen mit zwei Varialen Einleitung: Extremwertaufgaen gehören zu den Unterrichtsinhalten, die in der Oerstufe standardmäßig unterrichtet werden. Daei eschränkt man sich im allgemeinen auf Situationen,

Mehr

Digital Design 4 Schaltwerke

Digital Design 4 Schaltwerke 4 Schaltwerke Schaltwerk: Ausgabevektor hängt nicht nur von Eingabevektor ab, sondern auch von allen bisherigen Eingaben. A(t n ) = f(e(t n ), E(t n-1 ), E(t n-2 ), E(t n-3 ),... E(t 0 ) dazu sind erforderlich:

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

C. Sequentielle Logik

C. Sequentielle Logik C. Sequentielle Logik C.1. Einordnung Schaltungen mit innerem Zustand. Nächster Zustand nach jeweils t. Im Prinzip getaktete Schaltungen. Speichernde Schaltungen. Grosser Zustandsraum. Höhere Informatik

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Nachhilfestunden zum Thema Potenzrechnung

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Nachhilfestunden zum Thema Potenzrechnung Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: Nachhilfestunden zum Thema Potenzrechnung Das komplette Material finden Sie hier: School-Scout.de SCHOOL-SCOUT Nachhilfestunden zum

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik 12 Cauerstraße 11 91058 Erlangen TECHNICHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (NAND-chalterfunktion) Es soll ein NAND-Gatter

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4) Automaten (5) Sequentielle Schaltungen (6,7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. 4.3.2 Register. Register. Dr. Wolfgang Koch omutertechnik r. Wolfgang Koch 4.3 Schaltwerke, Sequentielle Schaltungen Seicher, Register... : Frühere Eingaben (innere Zustände) sielen eine Rolle (werden geseichert) Friedrich Schiller University Jena

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

Synthese digitaler Schaltungen Aufgabensammlung

Synthese digitaler Schaltungen Aufgabensammlung Technische Universität Ilmenau Fakultät für Elektrotechnik und Informationstechnik Fachgebiet Elektronische Schaltungen und Systeme Dr. Ing. Steffen Arlt Synthese digitaler Schaltungen Aufgabensammlung.

Mehr

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I Musterlösungen zu den Aufgaben der Nachklausur zum Kurs 7 Grundlagen der Technischen Informatik und Kurs 77 Technische Informatik I im Sommersemester 2 vom 6.9.2 Zu Aufgabe : Schaltnetz analysieren a)

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 3. Vorlesung Klaus Kasper Inhalt MasterSlave FlipFlop Zustandsdiagram FlipFlop Zoo Flankensteuerung JKFlipFlop Zyklische Folgeschaltung Digitaltechnik 2 2 MasterSlave FlipFlop Diskutieren

Mehr

Gruppe: Teilnehmer: Vortestate: Testat:

Gruppe: Teilnehmer: Vortestate: Testat: Praktikum Hardware-Grundlagen igitale Schaltungen Gruppe: Teilnehmer: Vortestate: Testat: Vorbereitung: Vergleicher: RS-Latch: -Latch: -FF: Schieberegister: Benutzte Geräte: igitale Schaltungen 1. Überblick

Mehr

Vorlesung Digitale Schaltungen Übung 1

Vorlesung Digitale Schaltungen Übung 1 Prof. Dr.Ing. Bern Büchau Fachhochschule Stralsun Fachereich Elektrotechnik u. Informatik Üung Zahlensysteme. Zählen Sie im ualen Zahlensystem (schriftlich) von 0 is 5 urch suzessive Aition von. 2. Stellen

Mehr

Praktikum Digitaltechnik SS Versuch 2

Praktikum Digitaltechnik SS Versuch 2 Praktikum Digitaltechnik SS 2011 Versuch 2 1 Praktikum Digitaltechnik SS 2011 Versuch 2 Stand: 26. 4. 11 Aufgabe 1: Bauen Sie einen 4-Bit-Asynchronzähler auf Grundlage von JK-Flipflops Wir bauen zunächst

Mehr