Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Ähnliche Dokumente
Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Grundlagen der Technische Informatik / Digitaltechnik (GTI/DT)

Übungen zu Informatik 1

Eingebettete Systeme

Laborübung 3. Latches, Flipflops, Counter

Integrierte Schaltungen

Klausur - Digitaltechnik

Übungsklausur - Beispiellösung

, SS2012 Übungsgruppen: Do., Mi.,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Grundlagen der Technischen Informatik

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Klausur-Nachbesprechung

Darstellung von negativen binären Zahlen

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

N Bit binäre Zahlen (signed)

Inhaltsverzeichnis. 1 Boolesche Algebra, Schaltalgebra - Begriffsbestimmung 1. 2 Operationssystem der Schaltalgebra 4. 3 Boolesche Funktionen 6

Teil 1: Digitale Logik

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Hardwarepraktikum WS 2001/02

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

2 Initialisierung clk_mkand= clk_produkt= multiplexer= init/>>1= 6 Schieben clk_mkand= clk_produkt= multiplexer= init/>>1=

Schriftliche Prüfung

Digitaltechnik I WS 2006/2007. Klaus Kasper

Minimierung nach Quine Mc Cluskey

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

Aufgabe 1, (25 Punkte):

Digitaltechnik II SS 2007

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufbau und Funktionsweise eines Computers - II

Klausur zur Vorlesung

Arbeitstitel: DV-Infrastruktur

EHP Einführung Projekt A

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Semestralklausur Einführung in Computer Microsystems

FAKULTÄT FÜR INFORMATIK

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Lösung. H_DA Dr. Frank Fachbereich I. Unterschrift:

Versuch: D1 Gatter und Flipflops

Inhaltsverzeichnis. 1 Einleitung 1

Zeitabhängige binäre Schaltungen. Prof. Metzler

Tutorium: Einführung in die technische Informatik

Klausur zur Vorlesung

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Grundlagen der Technischen Informatik. 12. Übung

Disitaltechni. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker 6., überarbeitete Auflage Mit 210 Abbildungen und 103 Tabellen

Klausur über den Stoff der Vorlesung Grundlagen der Informatik II (90 Minuten)

3 Initialisierung. Initialisierung. Addieren clk_mkand= clk_produkt= multiplexer= multiplexer= I0 init/>>1= mon. init/>>1= 0.

Computational Engineering I

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Klausur Lösung

Inhalt Anwendungsbeispiel für eine ODER- Funktion Einleitung... 9

Lehr- und Ubungsbuch für Elektrotechniker und Informatiker

Grundlagen der Technischen Informatik

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

12 VHDL Einführung (III)

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

3.8 Sequentieller Multiplizierer 159

Synthese und Analyse Digitaler Schaltungen

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Einführung in die technische Informatik

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Teil 1: Digitale Logik

Aufgabe 1, (25 Punkte):

Digitaltechnik Grundlagen 9. Zählschaltungen

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

N Bit binäre Zahlen (signed)

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

2.1 Boole sche Funktionen

Codes. Kapitel 2-6: Beispiele kombinatorischer Schaltungen. Digital Simulator. Kapitelverzeichnis (Buch Künzli)

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Einführung in die Digitaltechnik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

FAKULTÄT FÜR INFORMATIK

Digitaltechnik Grundlagen 5. Elementare Schaltnetze

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Multiplexer und Schieberegister

Übungsblatt 8 Lösungen:

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Transkript:

Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige Hinweise: für die Lösung darf weder Bleistift noch Rotstift verwendet werden für die Lösungen sind die Aufgabenblätter zu verwenden der Lösungsweg muss nachvollziehbar sein und sich an der Aufgabenstellung orientieren Betrugsversuche werden mit einem Nichtbestehen der Klausur geahndet Mit der Veröffentlichung meines Ergebnisses unter dem oben angegebenen Klausur- Code erkläre ich mich einverstanden (Bitte ankreuzen) Hiermit erkläre ich, dass alle personenbezogenen Angaben richtig sind, ich die Hinweise gelesen und verstanden habe und ich mich gesundheitlich in der Lage fühle an der Klausur teilzunehmen. Unterschrift: Aufgabe 2 3 4 5 6 max. Punkte 2 0 3 7 7 60 erreichte Punkte Korrektor

Aufgabe Name : Mustermann Mtr.-Nr.: 23456 Aufgabe (2 Punkte) (a) Kennzeichnen Sie in der folgenden Tabelle die richtigen Aussagen mit ja und falsche Aussagen mit nein! Wenn Sie sich nicht sicher sind lassen Sie die Zeile unausgefüllt, da falsche Markierungen zu Punktabzug führen. ja nein Das Tafelauswahlverfahren ergänzt Quine McCluskey...... um die Möglichkeit die minimale Anzahl an Primtermen zu ermitteln!... um die Möglichkeit die KKNF und KDNF zu ermitteln! Die folgende Kombination an Grundgattern reicht aus um jede beliebige boolesche Funktion abzubilden : NAND und NOR XOR und NOT Die folgenden Bausteine sind wiederprogrammierbar : FPGA ROM EEPROM Das QMC-Verfahren findet immer die minimale Primtermüberdeckung. Voll- und Halbaddierer besitzen jeweils zwei Ein- und Ausgänge. Ein 4-zu- Multiplexer hat zwei Steuereingänge. Zur Kennzeichnung einer Bereichsüberschreitung bei Operationen auf vorzeichenlosen Dualzahlen, wird auf das Overflow-Bit zurückgegriffen. Beim sequentiellen Verarbeiten aufeinanderfolgender Gray-Codes ändert sich jeweils ein Bit. 2Komplement-Zahlen verwenden zur Darstellung der dezimalen 0 zwei verschiedene Codes. Bei vorzeichenbehafteten Dualzahlen zeigt eine führende 0 eine negative Zahl an und eine eine positive Zahl. In KV-Diagrammen entsprechen 0 en den Maxtermen der abgebildeten Funktionen. Aus Maxtermen bildet man die KDNF einer Funktion. Die Tison-Methode bestimmt die minimale Anzahl von Primimplikanten. Die Funktionalität eines arithmetischen und eines logischen Linksshift ist die selbe. Ein getaktetes D-Flipflop ist während T= transparent. Ein asynchrones Flipflop arbeitet nie transparent. Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 2

Aufgabe Name : Mustermann Mtr.-Nr.: 23456 (b) Im nachfolgenden VHDL-Quellcode ist die Komponente FOO beschrieben. Leider sind fünf Fehler enthalten. Finden und korrigieren Sie mindestens vier von diesen! library IEEE; use IEEE.std_logic_64.all; entity FOO is port ( a, b, c: input std_logic; z: out std_logic); end entity; architecture behavioral of BAR is signal m, n : bit; begin m <= a nor b nor c; n => b and not(c) nor a and c; a <= b xor c; z <= m or n; end architecture; Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 3

Aufgabe 2 Name : Mustermann Mtr.-Nr.: 23456 Aufgabe 2 (0 Punkte) Gegeben ist die folgende Funktion y = x 0 x 2 x 3 x 4 x 5 + x 0 x x 3 x 4 x 5 + x 0 x 2 x 3 x 4 + x 0 x 2 x 3 x 4 + x 0 x x 3 x 4 + x 0 x 3 x 5 Formen Sie die gegebene Funktion mit Hilfe von Shannon so um, dass sie auf die vorgegebene Multiplexerstruktur projiziert werden kann und bilden Sie anschließend die umgeformte Funktion unter Verwendung aller Multiplexer auf die Multiplexerstruktur ab. Hinweis: Verwenden Sie dabei die Multiplexer so häufig wie möglich. x 4 x 2 x 5 0 0 0 0 0 x 4 x x 3 x 0 0 0 0 0 y 0 0 0 0 Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 4

Aufgabe 3 Name : Mustermann Mtr.-Nr.: 23456 Aufgabe 3 (3 Punkte) Das nachfolgende VHDL-Quellcodefragment enthält Signalzuweisungen:... signal a, b, c, d, v, w, x, y, z : bit; begin v <= not(a or b or c or d); w <= b and not(c) nor a and c; x <= w or d; y <= not(w and not(d)); z <= not(d) and c and (b nor v);... (a) Lesen Sie die Funktionen x, y und z in Abhängigkeit von a, b, c und d aus! (b) Erstellen Sie für die Funktionen x und y ein Schaltnetz unter ausschließlicher Zuhilfename der gegebenen Gatterstruktur. Kennzeichnen Sie die benutzten Gatter mit den der Funktionalität entsprechenden Symbolen (jede Funktion soll direkt durch Gatter abbildbar sein). Setzen Sie wo nötig an den Ein- oder Ausgängen Negationssymbole. Beschalten Sie nicht benötigte Eingänge so, dass die Funktionalität des Gatters sicher gegeben ist. Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 5

Aufgabe 4 Name : Mustermann Mtr.-Nr.: 23456 Aufgabe 4 (7 Punkte) Übertragen Sie die Funktionen y 0, y aus dem unten abgebildeten PROM in die angegebenen KV-Diagramme. Minimieren Sie die beiden Funktionen und tragen Sie diese danach möglichst effizient in das gegebene PLA ein. Hinweis: Beim ersten Schritt ist es sinnvoll sich vorher zu überlegen, welche strukturellen Eigenschaften von PROM und KV-Tafeln zusammenhängen. x 3 x 2 x x 0 y 0 : x 0 x 2 0 5 4 x 3 x 2 3 0 7 5 6 4 8 9 3 2 y : x 0 x 2 0 5 4 x 2 3 7 6 x 3 0 5 4 y 0 y 8 9 3 2 x 3 x 2 x x 0 y 0 y Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 6

Aufgabe 5 Name : Mustermann Mtr.-Nr.: 23456 Aufgabe 5 (7 Punkte) Das nachfolgende VHDL-Quellcodefragment enthält Signalzuweisungen:... signal a, b, o, o2, o3 : bit; begin o <= inertial a after.5 ns; o2 <= transport not (a and b) after.5 ns; o3 <= reject 0.5 ns inertial a and b after ns;... Gegeben ist außerdem der Signalverlauf des Signals a: a sowie der des Signals b: b 0 2 3 4 5 6 7 8 9 0 [t/ns] 0 2 3 4 5 6 7 8 9 0 [t/ns] Ordnen Sie den folgenden gegebenen Signalverläufen die passenden Signale zu und tragen Sie jeweils den Namen (o,o2,o3) in das entsprechende graue Kästchen ein. Kennzeichnen Sie die Signalverläufe, die nicht das Ergebnis einer der aufgeführten Signalzuweisungen sein können, durch ein x im grauen Kästchen: 0 2 3 4 5 6 7 8 9 0 [t/ns] 0 2 3 4 5 6 7 8 9 0 [t/ns] 0 2 3 4 5 6 7 8 9 0 [t/ns] 0 2 3 4 5 6 7 8 9 0 [t/ns] 0 2 3 4 5 6 7 8 9 0 [t/ns] Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 7

Aufgabe 6 Name : Mustermann Mtr.-Nr.: 23456 Aufgabe 6 ( Punkte) Im nachfolgenden Bild ist die Schaltung eines 2-Bit Schieberegisters aus zwei Master-Slave- Flipflops gegeben. Mit jeder ansteigenden Taktflanke auf der Leitung Takt wird der aktuelle Speicherinhalt q, q 0 um eine Stelle nach rechts versetzt und der am Eingang D anliegende Wert vom linken Flip-Flop übernommen. q q 0 D D C D C Takt (a) Das Schieberegister soll als endlicher Automat betrachtet werden. Um welchen Automatentypen handelt es sich? (b) Stellen Sie die Zustandsübergangstabelle des Automaten auf! Wie viele Zustände kann es maximal geben? Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 8

Aufgabe 6 Name : Mustermann Mtr.-Nr.: 23456 (c) Interpretieren Sie den Speicherinhalt als Dualzahl q 2 + q 0 2 0. Stellen Sie das Zustandsdiagramm auf, indem Sie Knoten benutzen, die dem dual interpretierten Speicherinhalten entsprechen. (d) Geben Sie bei Zustand 0 beginnend die längste Folge sich nicht wiederholender Zahlen durch den Zustandsgraphen an! Ist die Folge eindeutig? Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 9

Aufgabe 6 Name : Mustermann Mtr.-Nr.: 23456 Technische Grundlagen der Informatik - Digitale Systeme WS 200/20 0