Prüfungsklausur Computersysteme Teil Computersysteme I WS 2010/ Prof. Dr. J. Keller LG Parallelität und VLSI

Größe: px
Ab Seite anzeigen:

Download "Prüfungsklausur Computersysteme Teil Computersysteme I WS 2010/ Prof. Dr. J. Keller LG Parallelität und VLSI"

Transkript

1 Prüfungsklausur Computersysteme Teil Computersysteme I WS 2010/ Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI

2 1 Aufgabe 1 (9 Punkte): a) Gegeben ist das folgende Karnaugh-Diagramm einer Schaltfunktion f in vier Variablen. Bestimmen Sie die Wertetabelle. (3 P.) X 1 X X X 3 X 1 X 2 X 3 X 4 f(x 1 X 2 X 3 X 4 )

3 2 b) Gegeben ist das folgende Karnaugh-Diagramm einer Schaltfunktion g in vier Variablen. X 1 X X X 3 Kreuzen Sie bitte an, welche der folgenden Terme Primterme der Schaltfunktion g darstellen. (3 P.) X 1 X 1 X 2 X 1 X3 X4 X 3 X 4 X 3 X4 X 3 X 4

4 3 c) Gegeben ist die folgende Primtermtabelle, wobei alle Primterme P 1 bis P 4 gleiche Kosten haben sollen. Minterme Primterme M1 M2 M3 M4 M5 P1 X X P2 X X P3 X X P4 X X Bitte kreuzen Sie an, welche der folgenden Aussagen zutreffen. (3 P.) Primterm P3 ist kein Kernimplikant. Primterm P2 dominiert Primterm P1. Das Minimalpolynom besteht nur aus zwei Primtermen. Primterm P4 ist ein Kernimplikant. Primterm P2 ist ein Kernimplikant. Primterm P2 ist der einzige Kernimplikant.

5 4 Lösung: a) Die Wertetabelle der Schaltfunktion f lautet: X 1 X 2 X 3 X 4 f(x 1 X 2 X 3 X 4 ) b) Der zweite und der fünfte Term sind Primterme der Schaltfunktion g im Karnaugh- Diagramms. c) Die erste, vierte und fünfte Aussage treffen zu.

6 5 Aufgabe 2 (7 Punkte): a) Rechnen Sie jeweils aus (4 P.): [1101] 2 = = bin 6 (21) = twoc( 1) = mit 8 Stellen b) Welche Zahl wird durch die folgende Gleitkommadarstellung nach IEEE754 (einfache Genauigkeit) dargestellt? (1 P.) (s, c, a) = (0, 1 } 0.{{.. 0}, 1 } 0.{{.. 0} ) 7 22 c) Bitte kreuzen Sie an, welche der folgenden Aussagen zutreffen. (2 P.) a 4 a 3 a 2 a 1 a 0 = a 4 a a 2 a 1 a 0 a 4 a 3 a 2 a 1 a 0 = a 4 a 3 a a 2 a 1 a 0 a 4 a 3 a 2 a 1 a 0 = a 4 a 4 a 3 a 2 a 1 a 0 a 4 a 3 a 2 a 1 a 0 = 0a 4 a 3 a 2 a 1 a 0 Lösung: a) [1101] 2 = = = = 14 bin 6 (21) = twoc 8 ( 1) = b) Es gilt s = 0, c = 128, 1.a = 1, 5. Die dargestellte Zahl ist ( 1) , 5 = 3. c) Die erste und vierte Aussage treffen zu.

7 6 Aufgabe 3 (6 Punkte): a) Erstellen Sie die Wertetabelle für einen 2-Bit Decoder. (3 P.) s 1 s 0 a 3 a 2 a 1 a b) Erstellen Sie die Wertetabelle für einen Volladdierer. (3 P.) a b c c s Lösung: a) Die Wertetabelle lautet s 1 s 0 a 3 a 2 a 1 a b) Die Wertetabelle lautet a b c c s

8 7 Aufgabe 4 (6 Punkte): Gegeben sei der folgende Mealy-Automat mit jeweils einem Eingabe und einem Ausgabesignal. Erstellen Sie einen äquivalenten Moore-Automaten nach dem im Kurstext (Abschnitt 3.4.2) beschriebenen Verfahren. Lösung: Der äquivalente Moore-Automat ergibt sich durch Verdoppelung des Zustands 2.

9 8 Aufgabe 5 (8 Punkte): Gegeben ist ein Schaltwerk mit JK-Flipflops (x: Eingabe, y: Ausgabe, Zustand in z 1 z 0 gespeichert) gemäß folgender Abbildung. Stellen Sie Zustandstabelle des Schaltwerks auf. Hinweis: Bestimmen Sie zunächst als Gleichungen, wie J 1, K 1, J 0, K 0, y von x, z 1, z 0 und ihren Inversen abhängen. x 1 & & >_ 1 >_ 1 >_ 1 z 1 z 1 1J C1 1K J 1 z 1 + K 1 z 0 + y z 0 z 0 1J C1 1K C J 0 K 0

10 9 Lösung: Die Gleichungen lauten J 0 = x z 1 K 0 = z 1 J 1 = x z 0 K 1 = z 0 y = (z 1 z 0 ) (z 1 z 0 ) Damit ergibt sich die Zustandstabelle wie folgt: z 1 z 0 x K 1 J 1 K 0 J 0 z 1 + z 0 + y

11 10 Aufgabe 6 (4 Punkte): Gegeben sei ein einfacher Computer wie in Kurseinheit 4 des Kurstextes beschrieben. Die Nutzung des Stack erfolgt über einen Befehl PUSH A, wobei A ein Register ist und der Befehl wie folgt implementiert wird: SP SP - 1 M[SP] A Welche der folgenden Realisierungen des Befehls POP A passt zu obiger Realisierung des Befehls PUSH A? Hinweise: Bei allen Befehlen wird auch der Programmzähler erhöht, obwohl dies der Übersichtlichkeit halber nirgends angegeben ist. Es können mehrere Realisierungen korrekt sein. A M[SP] SP SP - 1 A M[SP] SP SP + 1 SP SP + 1 A M[SP] SP SP + 1 A M[SP - 1] Lösung: Die zweite und vierte angegebene Realisierung des POP passen zum PUSH-Befehl.

12 11 Aufgabe 7 (10 Punkte): a) Gegeben sei das folgende ASM-Diagramm eines komplexen Schaltwerks, wobei die Eingabe aus den beiden Signalen X und Start besteht, es Register für Zahlen A, B, und Y und für die Bit- Variablen K und Fertig gibt, und die Ausgabe aus dem Wert des Registers Y besteht, wenn Fertig den Wert 1 hat.

13 12 Vervollständigen Sie das zugehörige Operationswerk. (5 P.) Lösung:

14 13 b) Durch ein komplexes Schaltwerk soll die N-te Fibonacci-Zahl berechnet werden. Die Fibonacci- Zahlen bilden eine Folge f 0, f 1, f 2,..., wobei gilt: f 0 = 0, f 1 = 1, f i = f i 1 + f i 2 für i = 2, 3, 4,.... Vervollständigen Sie graue Felder und fehlende Kanten im nachfolgend abgebildeten ASM-Diagramm mit Startzustand Z0, bei dem die Eingabe aus einer natürliche Zahl N 2 sowie einem Steuersignal Start besteht, Variablen Y, A, K und Fertig benutzt werden, und die Ausgabe, d.h. die Zahl f N, im Wert der Variablen Y besteht, wenn Fertig den Wert 1 hat. (5 P.) Lösung:

15 Prüfungsklausur Computersysteme Teil Computersysteme II WS 2010/ Lösungsvorschläge Dr. H. Bähring/Prof. Dr. W. Schiffmann LG Rechnerarchitektur

16 Aufgabe 8: Verständnisfragen (8 Punkte) Geben Sie für die folgenden Aussagen an, ob sie richtig oder falsch sind. (je 1P.) a) Die Begriffe Prozessorarchitektur und Mikroarchitektur werden meist synonym verwendet. richtig falsch X b) Unter dem Begriff virtuelle Speicherverwaltung versteht man die Umsetzung von logischen in physikalischen Adressen. X c) Als Chipsatz eines PCs umfasst alle auf dem Motherboard vorhandenen integrierten Schaltungen (ICs), insbesondere auch den Prozessor und die Speicherbausteine. X Ergänzen Sie die folgenden Aussagen: d) Geben Sie an, was man bei der Befehlszuteilung in einem Superskalarprozessor unter den folgenden Begriffen versteht: (2 P.) vertikaler Verlust: In einem Prozessortakt kann kein Befehl zugeordnet werden, sodass alle Befehlsfächer frei bleiben. horizontaler Verlust: In einem Prozessortakt bleiben nicht alle, sondern nur einige Befehlsfächer frei, da keine entsprechenden Befehle zugeordnet werden können. e) Ein RISC-Prozessor unterscheidet sich von einem CISC-Prozessor insbesondere durch die beiden folgenden Eigenschaften: (1 P.) 1. (relativ) kleiner Befehlssatz mit wenigen Adressierungsarten 2. großer Registersatz mit 32-bit-Registern f) Unter dem Begriff Lokalitätsprinzip versteht man die Eigenschaft typischer Programme, mit großer Wahrscheinlichkeit auf die zuletzt benutzten Befehle und Daten wiederholt und bevorzugt zuzugreifen. (2 P.) Dabei unterscheidet man zwischen der zeitlichen und der räumlichen Lokalität.

17 Byte 31 Aufgabe 9: Cache (12 Punkte) Ein 32-bit-Prozessor mit 32-bit-Adressbus besitze einen 4-fach satzassoziativen Cache mit einer Daten-Kapazität von 1 MByte. Die Blocklänge betrage 32 Bytes. Jeder Cache-Eintrag enthalte im Tag-Speicher die für das MESI-Kohärenzprotokoll benötigten Auswahl-/Gültigkeitsbits. (Zu allen folgenden Teilaufgaben ist die Herleitung bzw. eine Begründung erforderlich!) a) Kennzeichnen Sie im folgenden Rahmen durch senkrechte Striche die unterscheidbaren Bitfelder in der Adresse eines Speicherzugriffs und bezeichnen Sie sie! (3 P.) Bit Bez.: Tag ($14D4) Index ($1998) Byteauswahl ($16=22) b) Geben Sie die Kapazität K DTC der Datenspeicher jedes Teil-Caches ( Weg, Way) an! (5 P.) K DTC : 1 MByte / 4 = 2 20 / 4 Byte = 2 18 Byte = 256 kbyte Geben Sie die Anzahl B der Blöcke pro Teil-Cache an! B TC : 256 kbyte / 32 Bytes pro Block = 2 18 / 2 5 Blöcke = 2 13 Blöcke = 8192 Blöcke Geben Sie die Organisation O DTC der Datenspeicher jedes Teil-Caches an! O DTC : Bytes, d.h Einträge mit je 32 Bytes Geben Sie die Organisation O TTC der Tag-Speicher jedes Teil-Caches an! O TTC : Bits = Bytes (14 Tag-Bits + 2 MESI-Bits pro Eintrag) Wie groß ist die für den vollständigen Cache benötigte Speicherkapazität K TGC für die Tag- Speicher. K TGC : Bytes = Bytes = 2 16 Bytes = 64 kbytes = Bytes c) Im oben stehenden Bitrahmen ist eine Speicheradresse A in binärer Form vorgegebenen. In den Einträgen der vier Tag-Speicher, die durch den durch A bestimmten Index selektiert werden, seien die Tags $105D, $14D4, $23F4, $05FD gespeichert. Geben Sie an, ob durch die Adresse A ein Cache-Hit oder ein Cache-Miss verursacht wird und geben Sie ggf. falls, welcher der aufgeführten Tags einen Hit verursacht! (2 P.) Hit: ja /nein Tag: $14D4 (s. oben stehende Skizze) d) Durch einen 32-bit-Lesezugriff auf den Cache mit der o.g. Speicheradresse A werde ein Hit erzeugt. Der selektierte Block B habe den folgenden Inhalt (in hexadezimaler Form): (2 P.) Little End Big End AB 01 4E DC 54 AB BB 7F BD F1 10 7E BD 43 FE CCFD 88 AB CDF9 CC Geben Sie an, welcher Wert in das Zielregister R geladen wird, wenn die Daten im Big-Endian- Format im Speicher abgelegt sind. R: $BB Begründung: Die Byteauswahl ist $16 = 22. Das selektierte 32-bit-Wort umfasst also die (Unter-) Adressen im Block. Dort steht im Big-Endian-Format die Zahl $BB

18 Aufgabe 10: Assemblerprogrammierung (8 Punkte) Gegeben sei ein Computersystem mit einem 32-Bit Prozessor, der mit einem Byte-organisierten Hauptspeicher verbunden ist. Der Prozessor kann Bytes, Halbwörter (2 Bytes) und Wörter (4 Bytes) adressieren. Der Zugriff auf Halbwörter und Wörter kann nur ausgerichtet (aligned) erfolgen und zwar Modulo 2 bei Halbwörtern und Modulo 4 bei Wörtern. Beim Zugriff auf den Hauptspeicher werden die Bytes nach der Little-Endian -Reihenfolge angeordnet. Der Speicherinhalt entspricht stets dem letzten Byte der Adresse. So enthält z.b. die Adresse $1000F0 das Byte $F0. Der Prozessor verfügt über 16 Register, wobei das Register R0 konstant 0 ist und das Register R15 den Programmzähler enthält. a) Geben Sie den Inhalt des Registers R1 an, nachdem folgendes Programm ausgeführt wurde: (1 P.) MOVE R1,R0 // Kopiere Inhalt von R0 nach R1 ADD R3,R0,$0A // Addiere zum Inhalt von R0 den Wert $0A und schreibe das Ergebnis in R3 LW R1,0(R3) // Lade ein Wort registerindirekt mit R3 und Offset 0 in R1 Register R1: 0D 0C 0B 0A b) Was ergibt sich, wenn der letzte LW-Befehl durch die drei folgenden Befehle ersetzt wird? (1 P.) LH R1,0(R3) ADD R3,R0,$03 LB R1,0(R3) Register R1: // Lade ein Halbwort registerindirekt mit R3 und Offset 0 in R1 // Lade ein Byte registerindirekt mit R3 und Offset 0 in R B 0D c) Es soll ein Befehlszähler-relativer Sprung (J) ausgeführt werden, der an der Adresse $ steht: (2 P.) $ : J $1C Wir müssen lediglich $1A im Hexadezimalsystem addieren und erhalten so den neuen Programmzähler: d) Der in Teilaufgabe c) benutzte Befehlszähler-relative Sprungbefehl soll so verändert werden, dass ein Rücksprung zur Adresse $ C erfolgt. Bestimmen Sie den entsprechenden Offset, wenn dieser wie bei einem DLX-Prozessor als 26-Bit Zweierkomplement-Zahl dargestellt wird. (4 P.) Erst bilden wir die Differenz zwischen beiden Adressen. Da der Programmzähler automatisch um 4

19 erhöht wird, müssen wir diesen Wert noch zur Befehlsadresse addieren: $ $ C = $0000 0CE0-4 = $0000 0CE0 Die so bestimmte Hexadezimalzahl muss nun in eine 26-Bit Zweierkomplement-Darstellung überführt werden. Hierzu werden die 6 führenden Nullbits der Binärdarstellung gestrichen, die restlichen 26-Bit invertiert und dazu 1 addiert Bitweise invertieren und 1 addieren ergibt: = Daraus ergibt sich die siebenstellige Hexadezimal-Darstellung des Offsets: 3 F F F 3 2 0

20 Aufgabe 11: Pipelineabhängigkeiten analysieren (8 Punkte) Gegeben sei folgendes DLX-Programm: S1: DIV R2,R5,R8 ; R2:=R5:R8 S2: SUB R9,R2,R7 ; R9:=R2-R7 S3: XOR R5,R14,R6 ; R5:=R14 XOR R6 S4: MUL R11,R9,R5 ; R11:=R9*R5 S5: BEQZ R10,S1 ; Verzweige nach S1, falls R10=0 S6: OR R8,R15,R2 ; R8:=R15 v R2 Geben Sie alle Befehlspaare an, zwischen denen einer der folgenden Pipelinekonflikte besteht: (je 2 P.) a) Lese-nach-Schreibe-Abhängigkeit (RAW) b) Schreibe-nach-Lese-Abhängigkeit (WAR) c) Schreibe-nach-Schreibe-Abhängigkeit (WAW) d) Steuerfluss- Abhängigkeit Siehe Übungsaufgabe 9 vom Studientag.

21 Aufgabe 12: Sprungvorhersage Zustandsfolge bei 2-Bit Prädiktoren (8 Punkte) Gegeben sei das folgende DLX-Programm (R0 enthält stets den Wert 0). Betrachten Sie jeweils vier Durchläufe: 1 INIT : LOAD R1,#0 ; R1:=0 2 LOAD R2,#2 ; R2:=2 3 START : CMP R1,R0 ; R1=0? 4 BRNZ L1 ; if ( R1 R0 ) goto L1 5 LOAD R1,#1 ; R1:=1 6 L1 : CMP R1,R2 ; R1=R2? 7 BRNZ L2 ; if ( R1 R2 ) goto L2 8 LOAD R1,#0 ; R1:=0 9 BRA START ; goto START 10 L2 : LOAD R1,#2 ; R1:=2 11 BRA START ; goto START a) Erstellen Sie eine Tabelle der Sprungverläufe, die beim Ablauf dieses Programms auftreten. Sprungverläufe: (3 P.) Durchlauf BRNZ L1 BRNZ L2 BRA START BRA START 1 NT T T 2 T NT T 3 NT T T 4 T NT T c) Für die beiden bedingten Sprünge soll jeweils ein Zwei-Bit-Prädiktor mit Sättigungszähler, der im Zustand Weakly Not Taken (WNT) initialisiert ist, mit Hilfe der beigefügten Tabelle analysiert werden. Markieren Sie dabei die falschen Vorhersagen! Wie viele falsche Vorhersagen gibt es? (5 P.) Durchlauf BRNZ L1 BRNZ L2 Vorhersage Sprung neue Vorhersage Vorhersage Sprung neue Vorhersage 1 WNT NT SNT WNT T WT 2 SNT T WNT WT NT WNT 3 WNT NT SNT WNT T WT 4 SNT T WNT WT NT WNT Sechs Vorhersagen sind fehlerhaft.

22 Aufgabe 13: Amdahl's Gesetz (6 Punkte) Das Gesetz von Amdahl dient zur Bestimmung der durch die Parallelverarbeitung erreichte Beschleunigung, die als Speedup S bezeichnet wird. Es gilt: S= T s T p = 1 f + (1 f )/ p a) Welche Bedeutung haben die in dieser Gleichung auftretenden Größen (je 1 P.) T s =... T p =... f =... p=... b) Bei der Analyse einer parallelen Implementierung wurde festgestellt, dass der Speedup trotz einer deutlich größeren Prozessorzahl auf 8 begrenzt bleibt. Was folgt daraus für die Größe f nach dem Gesetz von Amdahl? (2 P.) a) Lösung T s = Sequentielle Laufzeit T p = Parallele Laufzeit f = Sequentieller (nicht parallelisierbarer) Anteil des Programms p= Zahl der parallelen Prozessoren b) f = 1/8 = 0,125, d.h. der sequentielle Anteil des Programms beträgt 12,5 %.

Modul Computersysteme Prüfungsklausur SS 2011. Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS 2011. Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2011 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 (12 Punkte): a) Gegeben ist das

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Name: Vorname: Matr.-Nr.: 4. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen stets ein mikroprogrammierbares Steuerwerk verwenden.

Name: Vorname: Matr.-Nr.: 4. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen stets ein mikroprogrammierbares Steuerwerk verwenden. Name: Vorname: Matr.-Nr.: 4 Aufgabe 1 (8 Punkte) Entscheiden Sie, welche der folgenden Aussagen zum Thema CISC/RISC-Prinzipien korrekt sind. a) RISC-Architekturen müssen zur Decodierung von Maschinenbefehlen

Mehr

Prüfungsklausur 1609 WS 2012/2013

Prüfungsklausur 1609 WS 2012/2013 Prüfungsklausur 1609 WS 2012/2013 Prof. Dr. W. Schimann 16.03.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 16.03.2013 Seite 2 Inhaltsverzeichnis 1 KV-Diagramm 4 2 Schaltfunktionen und Schaltnetze

Mehr

Modul Computersysteme Prüfungsklausur WS 2011/2012. Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur WS 2011/2012. Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur WS 2011/2012 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 (10 Punkte): a) Gegeben

Mehr

Prüfungsklausur SS 14

Prüfungsklausur SS 14 Prüfungsklausur 31231 SS 14 Prof. Dr. J. Keller 23.08.2014 1 FernUniversität Hagen Prüfungsklausur 31231 23.08.2014 Seite 2 Inhaltsverzeichnis 1 Codierungsverfahren 3 2 Speichermedien und Peripheriegeräte

Mehr

Prüfungsklausur Teil SS 2013

Prüfungsklausur Teil SS 2013 Prüfungsklausur 31231 Teil 20046 SS 2013 Prof. Dr. J. Keller 24.08.2013 1 FernUniversität Hagen Prüfungsklausur 31231 Teil 20046 24.08.2013 Seite 2 Inhaltsverzeichnis 1 Aufbau und Funktion eines Personal

Mehr

Grob-Struktur des Prozessor-Speichersystems

Grob-Struktur des Prozessor-Speichersystems 2.3.2 Speicherstruktur (1) Grob-Struktur des Prozessor-Speichersystems Chipsatz (Erklärung s. später, Folie 104) 22.4.-27.5.2013, Folie 52 2.3.2 Speicherstruktur (2) Zugriff Prozessor zumeist auf schnelle

Mehr

Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben

Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben Themen heute Besprechung des 9. Übungsblattes Virtuelle Speicherverwaltung Aufgaben Besprechung des 9. Übungsblattes Aufgabe 2 Ist in einer Aufgabe wie hier keine explizite Wortbreite angegeben, nicht

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 12.02.2014 Klausur zu Computational Engineering I............

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 7: Speichermanagement 183.579, 2014W Übungsgruppen: Mo., 12.01. Mi., 14.01.2015 Aufgabe 1: Cache-Adressierung Ein Prozessor mit einer Adresslänge von 20 Bit

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

Technischen Informatik I, WS 2004/05

Technischen Informatik I, WS 2004/05 PHILIPPS-UNIVERSITÄT MARBURG Fachbereich Mathematik und Informatik Prof Dr R Loogen, Dipl-Inform J Beringer D-3532 Marburg Hans-Meerwein-Straße Lahnberge Klausur zur Technischen Informatik I, WS 24/5 3

Mehr

Arithmetik, Register und Speicherzugriff. Grundlagen der Rechnerarchitektur Assembler 9

Arithmetik, Register und Speicherzugriff. Grundlagen der Rechnerarchitektur Assembler 9 Arithmetik, Register und Speicherzugriff Grundlagen der Rechnerarchitektur Assembler 9 Arithmetik und Zuweisungen Einfache Arithmetik mit Zuweisung C Programm: a = b + c; d = a e; MIPS Instruktionen: Komplexere

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 11 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus

é Er ist software-transparent, d.h. der Benutzer braucht nichts von seiner Existenz zu wissen. Adreßbus Cache- Control Datenbus 4.2 Caches é Cache kommt aus dem Französischen: cacher (verstecken). é Er kann durch ein Anwendungsprogramm nicht explizit adressiert werden. é Er ist software-transparent, d.h. der Benutzer braucht nichts

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 25.01.2016 Probeklausur zu Computational Engineering

Mehr

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2 3.9 Subtraktion 155 3.9 Subtraktion Allgemein Bezeichnungen: Minuend - Subtrahend = Differenz Die Subtraktion zweier Zahlen wird stellenweise ausgeführt. Dabei kann es vorkommen, dass eine größere Zahl

Mehr

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2

Durch das Borgen steht an der Zehner-Stelle jetzt nur noch eine 1 statt einer 2 .9 Subtraktion 55.9 Subtraktion Allgemein Bezeichnungen: Minuend - Subtrahend = Differenz Die Subtraktion zweier Zahlen wird stellenweise ausgeführt. Dabei kann es vorkommen, dass eine größere Zahl von

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

"Organisation und Technologie von Rechensystemen 4"

Organisation und Technologie von Rechensystemen 4 Klausur OTRS-4, 29.09.2004 Seite 1 (12) INSTITUT FÜR INFORMATIK Lehrstuhl für Rechnerarchitektur (Informatik 3) Universität Erlangen-Nürnberg Martensstr. 3, 91058 Erlangen 29.09.2004 Klausur zu "Organisation

Mehr

RO-Tutorien 15 und 16

RO-Tutorien 15 und 16 Tutorien zur Vorlesung Rechnerorganisation Tutorienwoche 10 am 29.06.2011 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 2 Instruktionssatz Lothar Thiele Computer Engineering and Networks Laboratory Instruktionsverarbeitung 2 2 Übersetzung Das Kapitel 2 der Vorlesung setzt sich mit der Maschinensprache

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Daniel Betz Wintersemester 2011/12

Daniel Betz Wintersemester 2011/12 Daniel Betz Wintersemester 2011/12 Digitally signed by daniel.betz@daniel-betz.com Date: 2011.12.04 17:24:40 +01'00' Insgesamt 16 Register von je 16 Bit (=WORD) Breite Untere 8 Register auch als 2 Register

Mehr

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer.

Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen. Teilen durch die Basis des Zahlensystems. Der jeweilige Rest ergibt die Ziffer. Digitaltechnik Aufgaben + Lösungen 2: Zahlen und Arithmetik Aufgabe 1 Wandeln Sie die folgenden Zahlen in Binärzahlen und Hexadezimalzahlen a) 4 D b) 13 D c) 118 D d) 67 D Teilen durch die Basis des Zahlensystems.

Mehr

TECHNISCHE HOCHSCHULE NÜRNBERG GEORG SIMON OHM Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl

Mehr

In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher

In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher Speicherhierarchie In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher Register Speicherzellen, direkt mit der Recheneinheit verbunden Cache-Speicher Puffer-Speicher

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

Kap.2 Befehlsschnittstelle. Prozessoren, externe Sicht

Kap.2 Befehlsschnittstelle. Prozessoren, externe Sicht Kap.2 Befehlsschnittstelle Prozessoren, externe Sicht 2 Befehlsschnittstelle 2.1 elementare Datentypen, Operationen 2.2 logische Speicherorganisation 2.3 Maschinenbefehlssatz 2.4 Klassifikation von Befehlssätzen

Mehr

1. räumliche Lokalität - Nach dem Zugriff auf eine bestimmte Adresse erfolgt in naher Zukunft ein erneuter Zugriff auf ein dazu benachbartes Datum.

1. räumliche Lokalität - Nach dem Zugriff auf eine bestimmte Adresse erfolgt in naher Zukunft ein erneuter Zugriff auf ein dazu benachbartes Datum. Aufgabe 1 a) Warum besitzen nahezu alle modernen Prozessoren einen Cache? Zur Überbrückung der Prozessor-Speicher-Lücke. Geschwindigkeit des Arbeitsspeichers ist nicht in gleichem Maße gestiegen wie die

Mehr

Mikrocomputertechnik. Adressierungsarten

Mikrocomputertechnik. Adressierungsarten Adressierungsarten Ein Mikroprozessor bietet meist eine Reihe von Möglichkeiten, die Operanden für eine Rechenoperation zu bestimmen. Diese Möglichkeiten bezeichnet man als Adressierungsarten. unmittelbare

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben

Zwischenklausur Informatik, WS 2016/17. Lösungen zu den Aufgaben Zwischenklausur Informatik, WS 206/7 4.2.206 Lösungen zu den Aufgaben. Gegeben sind folgende Dualzahlen in Zweierkomplementdarstellung. Geben Sie den jeweils zugehörigen Dezimalwert an! a) entspricht der

Mehr

Technische Informatik - Eine Einführung

Technische Informatik - Eine Einführung Martin-Luther-Universität Halle-Wittenberg Fachbereich Mathematik und Informatik Lehrstuhl für Technische Informatik Prof. P. Molitor Technische Informatik - Eine Einführung Rechnerarchitektur Aufgabe

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Geräteentwurf mit Mikroprozessoren 1

Geräteentwurf mit Mikroprozessoren 1 Geräteentwurf mit Mikroprozessoren 1 Vorlesung am Institut für Elektronik der TU Graz Dipl.-Ing. Dr. Gerhard Stöckler SS 2003 Vorausgesetzte Kenntnisse: Grundlagen der Digitaltechnik Binäre Informationsdarstellung

Mehr

Technische Informatik 1 Übung 6 Pipelining (Rechenübung) Andreas Tretter 24./25. November 2016

Technische Informatik 1 Übung 6 Pipelining (Rechenübung) Andreas Tretter 24./25. November 2016 Technische Informatik 1 Übung 6 Pipelining (Rechenübung) Andreas Tretter 24./25. November 2016 Aufgabe 1: Taktrate / Latenz TI1 - Übung 6: Pipelining Einzeltakt-Architektur TI1 - Übung 6: Pipelining Pipelining-Architektur

Mehr

Übungsblatt 10 (Block C 2) (16 Punkte)

Übungsblatt 10 (Block C 2) (16 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de pascal.libuschewski [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 2016 Übungsblatt 10 (Block C 2) (16

Mehr

Wie groß ist die Page Table?

Wie groß ist die Page Table? Wie groß ist die Page Table? Im vorigen (typischen) Beispiel verwenden wir 20 Bits zum indizieren der Page Table. Typischerweise spendiert man 32 Bits pro Tabellen Zeile (im Vorigen Beispiel brauchten

Mehr

Systeme I: Betriebssysteme Kapitel 8 Speicherverwaltung

Systeme I: Betriebssysteme Kapitel 8 Speicherverwaltung Systeme I: Betriebssysteme Kapitel 8 Speicherverwaltung Version 21.12.2016 1 Inhalt Vorlesung Aufbau einfacher Rechner Überblick: Aufgabe, Historische Entwicklung, unterschiedliche Arten von Betriebssystemen

Mehr

Assembler am Beispiel der MIPS Architektur

Assembler am Beispiel der MIPS Architektur Assembler am Beispiel der MIPS Architektur Frühere Einsatzgebiete MIPS Silicon Graphics Unix Workstations (z. B. SGI Indigo2) Silicon Graphics Unix Server (z. B. SGI Origin2000) DEC Workstations (z.b.

Mehr

Heute nur MIPS-Praxis (4 Aufgaben)

Heute nur MIPS-Praxis (4 Aufgaben) Themen heute Heute nur MIPS-Praxis (4 Aufgaben) Hinweis: Diese Aufgaben findet ihr auf den Übungsblättern zu den Tutorien (bei Aufgabe 4 wurde eine Teilaufgabe und im Tutorium #6 bereits geklärte Wissensfragen

Mehr

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c

Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c Übungen für die Einführung in die Assemblerprogrammierung mit dem Prozessor c515c 1 Transportbefehle 1.1 Verwendung nur Akku und Register (R0, R1,... R7) 1.1.1 Kopieren Sie den Wert aus Register1 nach

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 10 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Die Branch Instruktion beq Grundlagen der Rechnerarchitektur Prozessor 13 Betrachten nun Branch Instruktion beq Erinnerung, Branch Instruktionen beq ist vom I Typ Format:

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Der von Neumann Computer

Der von Neumann Computer Der von Neumann Computer Grundlagen moderner Computer Technologie 1 Der moderne Computer ein weites Spektrum Typ Preis Anwendungsbeispiel embeded Computer 10-20 $ in Autos, Uhren,... Spielcomputer 100-200$

Mehr

Aufgabe 4 : Virtueller Speicher

Aufgabe 4 : Virtueller Speicher Sommer 216 Technische Informatik I Lösungsvorschlag Seite 16 Aufgabe 4 : Virtueller Speicher (maximal 27 Punkte) 4.1: Generelle Funktionsweise (maximal 5 Punkte) (a) (1 Punkt) Nennen Sie zwei Gründe, weshalb

Mehr

Besprechung des 8. Übungsblattes Einführung in Caches Cache-Organisation Cache-Ersetzungsstrategien Aufgaben (an der Tafel) Testklausur

Besprechung des 8. Übungsblattes Einführung in Caches Cache-Organisation Cache-Ersetzungsstrategien Aufgaben (an der Tafel) Testklausur Themen heute Besprechung des 8. Übungsblattes Einführung in Caches Cache-Organisation Cache-Ersetzungsstrategien Aufgaben (an der Tafel) Testklausur Besprechung des 8. Übungsblattes Aufgabe 2.6. In diesem

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Echtzeitbetriebssysteme

Echtzeitbetriebssysteme Speicherverwaltung (Memory Management) Aufgaben der Memory-Management-Unit ist l der Speicherschutz und l die Adressumsetzung Wird durch Hardware unterstützt l Memory Management Unit (MMU) l MMU wird vom

Mehr

Vorlesung Rechnerarchitektur. Einführung

Vorlesung Rechnerarchitektur. Einführung Vorlesung Rechnerarchitektur Einführung Themen der Vorlesung Die Vorlesung entwickelt an Hand von zwei Beispielen wichtige Prinzipien der Prozessorarchitektur und der Speicherarchitektur: MU0 Arm Speicher

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

10. Die Adressierungsarten des MSP 430

10. Die Adressierungsarten des MSP 430 10. Die Adressierungsarten 10.1 Übersicht über die Adressierungsarten 10.2 -Operanden 10.3 Indexregister mit Distanz 10.4 Symbolische (relativ zum ) 10.5 Absolute 10.6 Indirekte 10.7 Indirekte Adressierung

Mehr

Technische Informatik I. Übung 3 Speicherhierarchie. v t d 0 d 1 d 2 d 3 0 1 2 3. Technische Informatik I Übung 3. Technische Informatik I Übung 3

Technische Informatik I. Übung 3 Speicherhierarchie. v t d 0 d 1 d 2 d 3 0 1 2 3. Technische Informatik I Übung 3. Technische Informatik I Übung 3 Institut für Kommunikationsnetze und Rechnersysteme Technische Informatik I Paul J. Kühn, Matthias Meyer Übung 3 Speicherhierarchie Inhaltsübersicht Aufgabe 3.1 Daten-Cache Aufgabe 3.2 Virtueller Speicher

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Technische Informatik 1 - HS 2017

Technische Informatik 1 - HS 2017 Institut für Technische Informatik und Kommunikationsnetze Prof. L. Thiele Technische Informatik 1 - HS 2017 Übung 11 Datum: 21. 22. 12. 2017 Virtueller Speicher 1 Performanz Gehen Sie von einem virtuellen

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Andreas Polzer Dipl.-Inform. Ralf Mitsching LEHRSTUHL INFORMATIK XI SOFTWARE FÜR EINGEBETTETE SYSTEME Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Einführung

Mehr

Musterlösung 1. Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016

Musterlösung 1. Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016 Musterlösung 1 Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den

Mehr

Algorithmen zur Division

Algorithmen zur Division Algorithmen zur Division Umkehrung der Multiplikation: Berechnung von q = a / b durch wiederholte bedingte Subtraktionen und Schiebeoperationen in jedem Schritt wird Divisor b testweise vom aktuellen Rest

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

Abschlussklausur Informatik, SS 2012

Abschlussklausur Informatik, SS 2012 Abschlussklausur Informatik, SS 202 09.07.202 Name, Vorname: Matr.-Nr.: Unterschrift: Zugelassene Hilfsmittel: außer Stift und Papier keine Hinweis: Geben Sie bei allen Berechnungen den vollständigen Rechenweg

Mehr

Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen Darstellung ganzer Zahlen

Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen Darstellung ganzer Zahlen 3 Zahlendarstellung - Zahlensysteme - b-adische Darstellung natürlicher Zahlen - Komplementbildung - Darstellung ganzer und reeller Zahlen Inhaltsangabe 3.1 Zahlensysteme und Darstellung natürlicher Zahlen......

Mehr

Inhaltsverzeichnis. Teil I Aufgaben 1

Inhaltsverzeichnis. Teil I Aufgaben 1 iii Teil I Aufgaben 1 1 Grundlagen der Elektrotechnik 3 Aufgabe 1: Punktladungen............................ 3 Aufgabe 2: Elektronenstrahlröhre........................ 3 Aufgabe 3: Kapazität eines Koaxialkabels...................

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

3 Initialisierung. Initialisierung. Addieren clk_mkand= clk_produkt= multiplexer= multiplexer= I0 init/>>1= mon. init/>>1= 0.

3 Initialisierung. Initialisierung. Addieren clk_mkand= clk_produkt= multiplexer= multiplexer= I0 init/>>1= mon. init/>>1= 0. u Arithmetische Schaltungen c) Vervollständigen Sie nachfolgend abgebildeten s-automaten so, dass er den Multiplizierer wie gewünscht steuert Nehmen Sie an, dass Sie zur Detektion des Schleifen-Abbruchs

Mehr

Data Hazards. Grundlagen der Rechnerarchitektur Prozessor 74

Data Hazards. Grundlagen der Rechnerarchitektur Prozessor 74 Data Hazards Grundlagen der Rechnerarchitektur Prozessor 74 Motivation Ist die Pipelined Ausführung immer ohne Probleme möglich? Beispiel: sub $2, $1, $3 and $12, $2, $5 or $13, $6, $2 add $14, $2, $2

Mehr

Technische Informatik 1 - HS 2016

Technische Informatik 1 - HS 2016 Institut für Technische Informatik und Kommunikationsnetze Prof. L. Thiele Technische Informatik 1 - HS 2016 Lösungsvorschläge für Übung 6 Datum: 24. 25. 11. 2016 Pipelining 1 Taktrate / Latenz In dieser

Mehr

Institut für Informatik Prof. Dr. D. Hogrefe Dipl.-Inf. R. Soltwisch, Dipl.-Inform. M. Ebner, Prof. Dr. D. Hogrefe Informatik II - SS 04.

Institut für Informatik Prof. Dr. D. Hogrefe Dipl.-Inf. R. Soltwisch, Dipl.-Inform. M. Ebner, Prof. Dr. D. Hogrefe Informatik II - SS 04. Kontrollstrukturen Informatik II SS 2004 Teil 4: Assembler Programmierung Sprünge (bedingte und unbedingte) If-then-else, Case Loop (n Durchläufe) While (Abbruchbedingung) Institut für Informatik Prof.

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Algorithmen zur Division

Algorithmen zur Division Algorithmen zur Division Umkehrung der Multiplikation: Berechnung von q = a / b durch wiederholte bedingte Subtraktionen und Schiebeoperationen in jedem Schritt wird Divisor b testweise vom aktuellen Rest

Mehr

Kode-Erzeugung für Registersatz-Maschinen

Kode-Erzeugung für Registersatz-Maschinen Kode-Erzeugung für Registersatz-Maschinen Die meisten Maschinen sind heutzutage Registersatzmaschinen, die einen Satz von 16-32 Universalregistern besitzen. Üblich sind Dreiadress-Befehle OP DEST, SRC1,

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Informatik 12 Kapitel 3 - Funktionsweise eines Rechners

Informatik 12 Kapitel 3 - Funktionsweise eines Rechners Fachschaft Informatik Informatik 12 Kapitel 3 - Funktionsweise eines Rechners Michael Steinhuber König-Karlmann-Gymnasium Altötting 9. Februar 2017 Folie 1/36 Inhaltsverzeichnis I 1 Komponenten eines PCs

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Prozessor Übersicht Datenpfad Control Pipelining Data Hazards Control Hazards Multiple Issue Grundlagen der Rechnerarchitektur Prozessor 2 Datenpfad einer einfachen MIPS

Mehr

Legen Sie den Ausweis (mit Lichtbild!) griffbereit auf den Platz! Dieses Aufgabenheft umfasst 24 Seiten. Überprüfen Sie die Vollständigkeit!

Legen Sie den Ausweis (mit Lichtbild!) griffbereit auf den Platz! Dieses Aufgabenheft umfasst 24 Seiten. Überprüfen Sie die Vollständigkeit! Department Informatik Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 25.09.2013 Klausur zu Grundlagen der Rechnerarchitektur

Mehr

Klausur "Informationstechnische Grundlagen" WS 2012/2013

Klausur Informationstechnische Grundlagen WS 2012/2013 PD Dr. J. Reischer 11.02.2013 Klausur "Informationstechnische Grundlagen" WS 2012/2013 Nachname, Vorname Abschluss (BA, MA, FKN etc.) Matrikelnummer, Semester Versuch (1/2/3) Bitte füllen Sie zuerst den

Mehr

Technische Informatik 2 Adressierungsarten

Technische Informatik 2 Adressierungsarten Technische Informatik 2 Adressierungsarten Prof. Dr. Miroslaw Malek Sommersemester 2009 www.informatik.hu-berlin.de/rok/ca Thema heute X-Adressmaschine 0-Adressmaschine 1-Adressmaschine 2-Adressmaschine

Mehr

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8 Inhaltsverzeichnis Vorwort Teil 1: Grundlagen 1 1 Einleitung 3 1.1 Grundbegriffe 3 1.2 Einheiten 5 1.3 Geschichte 6 1.4 Arten von Computern 8 2 Allgemeiner Aufbau eines Computersystems 15 2.1 Blockdiagramm

Mehr

Zusammenhang Interrupt, Befehlszyklus, indirekte Adressierung und Mikroprogramm [Stallings, Kap. 15, S ]

Zusammenhang Interrupt, Befehlszyklus, indirekte Adressierung und Mikroprogramm [Stallings, Kap. 15, S ] 2.1.2 Behandlung von Unterbrechungen (Interrupts) Zusammenhang Interrupt, Befehlszyklus, indirekte Adressierung und Mikroprogramm [Stallings, Kap. 15, S. 582-585] t 1 : MAR (PC) t 2 : MBR Memory[MAR] PC

Mehr

Lösungsvorschlag 9. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 9. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag 9. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Aufgabe 9.1: Dinatos-Algorithmus-Analyse Die folgenden Verilog-Zeilen

Mehr

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44

Stephan Brumme, SST, 2.FS, Matrikelnr. 70 25 44 Aufgabe 33 a) Der Pseudobefehl move $rd,$rs wird als addu $rd,$0,$rs übersetzt. Dabei macht sich SPIM zunutze, dass das Register $0 immer Null ist. Somit wird das Register $rd ersetzt durch $rd=0+$rs=$rs,

Mehr

Lösungsvorschlag 10. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 10. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Lösungsvorschlag. Übung Technische Grundlagen der Informatik II Sommersemester 29 Aufgabe.: MIPS-Kontrollsignale Für die 5 Befehlstypen a) R-Format

Mehr

Prinzipieller Aufbau und Funktionsweise eines Prozessors

Prinzipieller Aufbau und Funktionsweise eines Prozessors Prinzipieller Aufbau und Funktionsweise eines Prozessors [Technische Informatik Eine Einführung] Univ.- Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg

Mehr

Von-Neumann-Architektur

Von-Neumann-Architektur Von-Neumann-Architektur Bisher wichtig: Konstruktionsprinzip des Rechenwerkes und Leitwerkes. Neu: Größerer Arbeitsspeicher Ein- und Ausgabewerk (Peripherie) Rechenwerk (ALU) Steuerwerk (CU) Speicher...ppppp...dddddd..

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Die Befehle mit dem Ziel IP sind nichts anderes als Sprungbefehle, sie sind unten noch mal aufgeführt.

Die Befehle mit dem Ziel IP sind nichts anderes als Sprungbefehle, sie sind unten noch mal aufgeführt. Die Befehle des Modellrechners in übersichtlichen Tabellen: Alle Opcodes sind Hexadezimal angegeben (s.u.). 1.) Die Ladebefehle zwischen den einzelnen Registern. In der oberen Zeile steht jeweils die Quelle

Mehr