Fachinformatik. Inhaltsverzeichnis. Meinhard Kissich Fachinfomatik 1

Größe: px
Ab Seite anzeigen:

Download "Fachinformatik. Inhaltsverzeichnis. Meinhard Kissich Fachinfomatik 1"

Transkript

1 Fachinformatik Inhaltsverzeichnis 1. Mikrocomputer Allgemein Prinzipielle Programmablauf 5 2. Zahlensysteme allgemein Umrechnen 7 3. Zahlendarstellung Zahlenkreis Zahlenkreis negative Zahlen Zweierkomplement Fließkommazahlen Float Probleme mit Fließkommazahlen in C 10 4.Zentraleinheit (CPU) Register Arten von Registern Rechenwerk Befehlswerk Mikroprogramm bei 8051 Architektur (CISC- Complex Instrotuction Set Compter) RISC- Architektur (Reduced ISC) Mikrocontroller ATMega Eigenschaften Pinbelegung Programmspeicher µc und Programmspeicher getrennt µc mit integrierten Programmspeicher µc mit integrierten Programmspeicher und ISP Grundlegende Beschaltung NET I/O Board Spannungsversorgung Serielle Schnittstelle RS Reset/Quarz/A- D Referenz Spannung ISP Digitale I/Os Blockschaltbild µc intern Setzen/Löschen von Ausgängen Beschaltung digitale Ausgänge Lesen von digitalen Eingängen Beschaltung digitaler Eingänge Spezielle I/o - Konfigurationen Push/Pull Trisate open Collector (OC) SFR 23 Meinhard Kissich Fachinfomatik 1

2 6.3.1 DDRx PORTx PINx PullUp Widerstand bei digitalen Eingängen PORTs setzen PORTs löschen PORTs togglen Pins einlesen 24 Meinhard Kissich Fachinfomatik 2

3 1. Mikrocomputer 1.1 Allgemein CPU: central prozessing unit Befehlswerk + Rechenwerk steuert Vorgänge braucht Takt o PC: GHz o µc: MHz Reset o active low o PC: geordneter Neustart => "speichert vorher" o µc: startet sofort bei Adresse 0 Speicher: ROM: Read Only Memory o verliert keine Daten, wenn keine Spannung anliegt o Programm gespeiert RAM: Random Accsess Memory o flüchtiger Speicher, verliert Daten wenn keine Spannung anliegt o Variablen/Daten werden gespeichert Ein- /Ausgabe Digital o Eingabe: Taster, binäre Sensoren,... o Ausgabe: z.b.: LED Analog o Eingang: Spannung (Poti, Fotowiderstand,...) Meinhard Kissich Fachinfomatik 3

4 o Ausgabe: Motor Schnittstellen o Serielle Schnittstelle RS232 Bussysteme allgemein mehrere Leitungen parallel mehrere Komponenten sind parallel angeschlossen es kann immer nur eine Komponente gleichzeitig kommunizieren Datenbus für Daten und Befehle Bidirektional (Senden + Empfangen) ATMega16/32: 8Bit Datenbus: Adressbus nur Senden Unidirektional, spricht Speicherstelle an ATMega32: 16Bit Steuerbus bestimmte Steuerleitungen RD: read, active low WR: write, active low CE: chip enable - mit welcher Komponente kommuniziert wird Mikrocomputer: allgemeiner Begriff Mikrocontroller: Mikrocomputer auf einem IC Mikroprozessor: CPU- Teil des Mikrocomputer Meinhard Kissich Fachinfomatik 4

5 1.2 Prinzipielle Programmablauf Laden des ersten Befehles: o Reset (1.Programmzeile: Programmzähler = 0) o Inhalt des Progammzählers auf Adressbus o Adresse 0: 1. Befehl im ROM aktiviert o 1. Befehl über Datenbus ins Befehlswerk Ausführung des Befehls: o Bsp: Addiere 2 Zahlen: Maschinencode: o Maschinencode decodieren o Steuersignal erzeugen o veranlassen des Rechnwerk den Befehl auszuführen Meinhard Kissich Fachinfomatik 5

6 Laden des nächsten Befehles o Befehlswerk erhöht Progrmmzähler um 1 o Vorgang beginnt von neu Meinhard Kissich Fachinfomatik 6

7 2. Zahlensysteme 2.1 allgemein Dezimal: 0-9 Binär: 0/1 Hexadezimal: 0-9 => A- F Stellenwertsysteme 2.2 Umrechnen Meinhard Kissich Fachinfomatik 7

8 3. Zahlendarstellung 3.1 Zahlenkreis Wenn die Grenze von 1111 auf 0000 Überschritten wird, wird das Carry- Bit gesetzt Zahlenkreis negative Zahlen Zahlen Bereich wird in 2 Hälften geteilt o 1/2 => positiv o 1/2 => negativ char x; => bis +127 (weil 0 positiv) Carry Bit gibt Vorzeichen an 3.2 Zweierkomplement um nun mit dem negative Zahlenbereich rechnen zu können braucht man das Zweierkomplement. Meinhard Kissich Fachinfomatik 8

9 Beispiel: laut Zahlenkreis: 1001 = - 7, allerdings wenn man 1001 umrechnet = 9 Vorgehensweise: (Dual in Zweierkomplement) Bits invertieren +1 Rechnen Beispiel: - 5 Darstellen Bits invertieren Beispiel: - 2 Darstellen Bits invertieren Fließkommazahlen in C: float x; double y; 4Byte/32Bit 8Byte/64Bit...2! 2!. 2!! 2!! Float 23 Bit Mantisse 8 Bit Exponent 1 Bit Vorzeichen Z = ±M 2 Exponent!k Beispiel: 0.3 in Dual 1.) Mantisse bestimmen 0,3 * 2 = 0,6 0 0,6 * 2 = 1,2 1 0,2 * 2 = 0,4 0 0,4 * 2 = 0,8 0 0,8 * 2 = 1,6 1 0,6 * 2 = 1,2 1 0,2 * 2 = 0, ) Normalisierung Bits so lange verschieben bis erste Stelle nach Komma nicht 0 1, !2 Meinhard Kissich Fachinfomatik 9

10 erste Zahl vor Komma wird weg gelassen, da sie immer 1 ist 3.) Exponent k = 127 Exponent k = 2 Exponent = 125 Exponent in Binär: 125 = ) fertige Zahl VZ E E E E E E E ' E M M M M M M M ' M... M ' M... M' ' Probleme mit Fließkommazahlen in C Fließkommazahlen dürfen nie direkt verglichen werden double dresult=0; for(int i=0; i<1000; i++) dresult+=0.3; => erwaretet: Result= 300; => real: dresult= Zentraleinheit (CPU) 4.1 Register Interner Speicher mit 8 oder 16Bit Basis: 1 Bit Speicher= D- FlipFlop Meinhard Kissich Fachinfomatik 10

11 Register aus D- FlipFlop bei positiver Flanke am Steuersignal (Speichern) übernehmen alle D- FlipFlops zugleich den Zustand der Eingangssignale (Datenbus). Meinhard Kissich Fachinfomatik 11

12 4.1.2 Arten von Registern Hilfsregister R0, R1,... zum Zwischenspeichern von Werten Akkumulatoren A wichtigste Register der CPU ist direkt mit Rechenwerk verbunden Operanten und Ergebnisse gespeichert z.b.: R1 + R2 => Ergebniss im Akkumulator Flagregister Flag... Bit mit bestimmter Bedeutung Flags: Carry- Bit, Overflow- Bit,... Befehlsregister speichert zuletzt geladenen Befehl Adressregister z.b.: Programmzähler 16Bit o weil Adressbus auch 16Leitungen z.b.: Stack- Pointer 8Bit Spezial Funktion Register Register mit spezieller Funktion im Bereich der Hardwareansteuerung ADMUX => AD- Kanal PORTA 4.2 Rechenwerk ALU Arithmetical Logical Unit Arithmetische Operationen: + - * / Logische Operationen: UND, ODER, NICHT Verschieben von Bits: Rechts und Links o nach Links verschieben o wenn keine 1 verloren geht: Faktor: *2 107: : o nach Rechts verschieben Meinhard Kissich Fachinfomatik 12

13 o wenn keine 1 verloren geht: Faktor: /(2 Stellen_die_Verschoben ) 216: : Faktor: 2^3 = Befehlswerk Maschinencode des zuletzt geladenen Befehls (dual) Mikroprogramm bei 8051 Architektur (CISC- Complex Instrotuction Set Compter) Bei jedem Maschinencodebefehle ein Mirkoprogramm mit (ca. 10) Mikroprogrammschritten Bei jedem dieser Schritte: feste Steuersignale erzeugt Diese führen zur Ausführung des Befehls Nachteil: Nimmt größten Teil der Chipfläche in Anspruch RISC- Architektur (Reduced ISC) Untersuchung zeigte o 80% des Programmcodes besteht aus 10% des Befehlssatzes o fast 90% des Befehlssatzes fast nie verwendet Befehlssatz reduzierte CPU => schneller gibt ein Mikroprogramm Steuersignale direkt aus Maschinencode erzeugt durch fest verdrahtete Logik Gatter verknüpfen Maschinencode <=> Steuersignal 5. Mikrocontroller ATMega Eigenschaften 8- Bit µc o Datenbus: 8 Leitungen RISC- Architectur o Computer mit reduziertem Befehlssatz 131 Befehle (Maschinencode) o die meisten können in einem Taktzyklus abgearbeitet werden oft verwendete Taktrate: 16MHz 32*8 Hilfsregister 32kByte Flash PROM 1024Byte EEPROM o elektrisch löschbarer ROM o nicht flüchtiger Speicher 2 kbyte SRAM o static Datenspeicher Timer/Counter (2*8Bit, 1*16Bit) 8* 10- Bit ADC Serielle Schnittstelle RS232 Meinhard Kissich Fachinfomatik 13

14 32 I/O Signale o 4 PORTs zu je 8 I/Os - > digital o PORTA bis PORTD Stromverbrauch 3V, 25 C => 1,1mA 5.2 Pinbelegung SMD: surface mounted device DIP/DIL: dual in line (package) 40Pins o 32 I/O o GND/Vcc o Reset o XTAL1, XTAL2 o AREF/AVCC/GND o PORTA PORTA - PORTD Spannungsversorgung low activ, Prgromm startet bei Adresse 0 Quartz für ADU 8 AD Kanäle 5.3 Programmspeicher µc und Programmspeicher getrennt Fast nicht mehr vorhanden Adressbus 16 Datenbus 8 Steuerbus 3 27 Leitungen! Nachteil: viele Leitungen auf Platine Programmspeicher muss aus Schaltung zum programmieren entfernt werden Meinhard Kissich Fachinfomatik 14

15 5.3.2 µc mit integrierten Programmspeicher Vorteil: keine externen Leitungen Nachteil: man braucht trotzdem ein ext. Programmiergerät µc mit integrierten Programmspeicher und ISP in System Programmable 5.4 Grundlegende Beschaltung NET I/O Board Spannungsversorgung Einspeisung 9V AC/DC Vollweg- Brückengleichrichter: 4 Dioden Glättungskondensator Festspannungsregeler Festspannungsregler Bezeichnung: 78 x yy: positive Spannungen 79 x yy: negative Spannungen Meinhard Kissich Fachinfomatik 15

16 o x: Strombelastbarkeit z.b.: 1 für 1Ampere o y: Spannung z.b.: 05 bis 5Volt externe Beschaltung o 2 Kondensatoren (C1; C2) o Freilaufdiode (D3) falls in der Schaltung höhere Spannungen aufdrehten z.b.: Schalten von Induktivitäten Ua > 5V - > i verkehrt im 7805: Diode schützt o Regel: Ue > Ua+3V bringt Ausgangspannung 'unabhängig' von Last Serielle Schnittstelle RS232 µc: 2 Pins (14, 15) Pegel µc RS V - 10V 1 5V 10V TxD... Transmit Data RxD... Receive Data Benötigt Pegelwandler! externe Beschaltung mit 1µF oder 10µF Elkos (Polung!) Reset/Quarz/A- D Referenz Spannung Reset: low active Meinhard Kissich Fachinfomatik 16

17 Quarz Kristallplättchen geometrische Abmessungen => Resonanzfrequenz sehr schmale Bandbreite (Frequenzstabil) Spannungsversorgung µc GND: 0V V_cc: V Collector: +5V AD- Referenzspannung Vergleichsspannung - > möglichst stabil o daher eine Spannungsversorgung möglich schnelles Laden der kapazitäten (Leiterbahnen) durch hohen Strom aus dem Kondensator => näher am Idealverhalten Der hohe Strom muss von der Spannungsversorgung über die Leiterbahnen und verursacht dabei Störungen. Daher Stützkondensator: stellt den Strom kurzzeitig zum IC fließen bereit. möglichst nahe am IC möglichst direkt verbunden Meinhard Kissich Fachinfomatik 17

18 5.4.4 ISP 3 Sinale am µc o SCK PORTB 7 => Clock o MISO PORTB 8 Master Input: PC Slave Output: µc o MOSI PORTB 5 Master Output: PC Slave Input: µc 6. Digitale I/Os 6.1 Blockschaltbild µc intern Setzen/Löschen von Ausgängen Beschaltung digitale Ausgänge Meinhard Kissich Fachinfomatik 18

19 LED wird auf Grund des sehr hohe PullUp Widerstandes nicht leuchten => zu wenig Strom LED: low active spezielle µc (push- pull System) funktioniert so bei allen µc. high active funktioniert nur bei Datenblatt: HIGH: I_max: 50µA LOW: I_max: 10mA Lesen von digitalen Eingängen Meinhard Kissich Fachinfomatik 19

20 Ausgang muss gesetzt sein o sonst würde der Transistor leiten Kurzschluss bei +5V am Eingang o Transistor sperrt: PullUp am Eingang Beschaltung digitaler Eingänge µc ließt in beiden Schaltzuständen den Wert, wegen internen PullUp Wenn +5V bei leitenden Transistor (Ausgang gelöscht): Kurzschluss => Zerstörung des Transistors funktioniert bei Taster geschlossen funktioniert nicht bei Taster offen, da Spannungsteile mit PullUp und Transistor Meinhard Kissich Fachinfomatik 20

21 funktioniert o externer PullUp kleiner als interner Taster geschlossen: 0V => 0 Taster offen: 5V => Spezielle I/o - Konfigurationen (Power Point) ATMega Push/Pull µc kann bei 1 und 0 Strom liefern Transistoren schalten entgegengesetzt Trisate 3 Zustände möglich o Push/Pull => 0/1 Meinhard Kissich Fachinfomatik 21

22 ocho hmig o H Bei Tristate = 1 o beide Transistoren sperren o Ausgang 'hängt in der Luft' = hochohmig Anwendung o Bussysteme: hochohmig = ausgeschaltet Bei Bussysteme darf nur 1 Komponente aktiviert sein (mit Datenbus verbunden), alle anderen müssen deaktiviert sein (hochohmig) open Collector (OC) Vorteil: mehrere OC Verbinden => NOR Nachteil: man braucht ext. PullUp Meinhard Kissich Fachinfomatik 22

23 6.3 SFR DDRx DDR: Data Direction Register x: PORT: A, B, C, D Bit gesetzt: digitaler Ausgang Bit gelöscht: digitaler Eingang Bsp: Bit 0,2 und 4 von PORTA => Ausgänge andere Eingänge DDRA=0b ; DDRA=0x15; PORTx setzen und Löschen von digitalen Ausgängen o Bit gesetzt => Ausgang gesetzt o Bit gelöscht => Ausgang gelöscht Bsp: Bits 0,2,3,6,7 von PORTC setzen andere löschen PORTC = 0b ; PORTC = 0xCD; PINx Lesen von Eingängen Bit = 1 - > 5V am Eingang Bit = 0 - > 0V am Eingang Bsp: Bit 0, 1 und 3 gesetzt andere gelöscht? if(pinb=0x0b) Meinhard Kissich Fachinfomatik 23

24 6.3.4 PullUp Widerstand bei digitalen Eingängen DDRx - > Bits = 0 - > Eingänge zusätzliche Einstellung über PORTx Bit=0: ohne PullUp / Tristate externer Pullup nötig Bit=1: mit internen PullUp Bsp: Bit 0,3,4 dig. Eingänge ohne PullUp Bit 1,5 dig. Eingänge mit PullUp Bit 2,6,7 dig Ausgänge Bit 2,7 gesetzt Bit 6 gelöscht DDRC=0xC4; PORTC=0xA6; PORTs setzen ODER verknüpfen PORTA = PORTA 0x04; PORTA = 0x04; PORTA = (1<<PA2); PORTs löschen AND mit Insersion PORTC &= 0xF7; PORTX &=~ (1<<PC3); PORTs togglen XOR verknüpfen PORTA ^= (1<<PA1); Pins einlesen nur zustand des signifikanten Bits If((PINB & (1<<PB5))==0x20) Meinhard Kissich Fachinfomatik 24

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1

Microcontroller Kurs. 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Microcontroller Kurs 08.07.11 Microcontroller Kurs/Johannes Fuchs 1 Was ist ein Microcontroller Wikipedia: A microcontroller (sometimes abbreviated µc, uc or MCU) is a small computer on a single integrated

Mehr

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet.

Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler die Datein verarbeitet. U4 4. Übung U4 4. Übung Besprechung Aufgabe 2 Makros Register I/O-Ports U4.1 U4-1 Makros U4-1 Makros Makros sind Textersetzungen, welche vom Präprozessor aufgelöst werden. Dies Passiert bevor der Compiler

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Mikrocontroller - Schnelleinstieg

Mikrocontroller - Schnelleinstieg Mikrocontroller - Schnelleinstieg Am Beispiel des Atmel Mega8 Philipp Fabian Benedikt Maier Mikrocontroller Schnelleinstieg Seite 1 Mikrocontroller - Schnelleinstieg: - Was ist ein Mikrokontroller? - Welche

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Parallel-IO. Ports am ATmega128

Parallel-IO. Ports am ATmega128 Parallel-IO Ansteuerung Miniprojekt Lauflicht Ports am ATmega128 PortE (PE7...PE0) alternativ, z.b. USART0 (RS232) 1 Pin von PortC Port C (PC7...PC0) 1 Parallel-IO-Port "Sammelsurium" verschiedener Speicher

Mehr

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 )

Quanton Manual (de) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Datum: 20.06.2013 URL: http://wiki:8090/pages/viewpage.action?pageid=9928792 ) Inhaltsverzeichnis 1 quanton flight control rev. 1 3 1.1 Anschlüsse für Peripheriegeräte 3 1.1.1 Eingänge / Ausgänge 3 1.1.2

Mehr

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich

CU-R-CONTROL. Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32. Autor: Christian Ulrich Seite 1 von 10 CU-R-CONTROL Beschreibung zur Schaltung ATMega16-32+ISP MC-Controller Steuerung auf Basis ATMEL Mega16/32 Autor: Christian Ulrich Datum: 08.12.2007 Version: 1.00 Seite 2 von 10 Inhalt Historie

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Mag. Christian Gürtler Programmierung Grundlagen der Informatik 2011 Inhaltsverzeichnis I. Allgemeines 3 1. Zahlensysteme 4 1.1. ganze Zahlen...................................... 4 1.1.1. Umrechnungen.................................

Mehr

ATMega2560Controllerboard

ATMega2560Controllerboard RIBU ELEKTRONIK VERSAND Mühlenweg 6. 8160 Preding. Tel. 017/64800. Fax 64806 Mail: office1@ribu.at. Internet: http://www.ribu.at ATMega560Controllerboard nur 66 x 40 mm große 4 fach Multilayer Platine

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Anleitung für DT-TPC Tel Modul

Anleitung für DT-TPC Tel Modul Anleitung für DT-TPC Tel Modul Vorsichtsmaßnahmen/Fehler : Bitte schützen Sie Ihre Anlage vor Erschütterungen Bitte reinigen Sie alle Komponenten mit einen Bauwolltuch (keine Chemikalien) Es kann zu Bildverzerrungen

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Facharbeit Informatik. Thema:

Facharbeit Informatik. Thema: Facharbeit Informatik Thema: Rechneraufbau Mit Locad 2002 1 Inhaltsangabe Inhalt: Seite: 1. Einleitung 3 2. Inbetriebnahme der Schaltung 3 3. Eingabe 4 4. CPU 5 5. RAM/HDD 8 6. Ausgabe 10 7. Auf einer

Mehr

Schnittstellenbeschreibung

Schnittstellenbeschreibung 1 Anschlüsse Bezeichnung Funktion, Beschreibung 13 Pressure UT Ausgang Druckluft, Verbindung zum Vorratsbehälter / Kartusche / Tank des Mediums 14 Pressure IN Eingang Druckluft, Schlauchanschluss, 4mm

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Kommunikation mehrerer PCs über Hubs

Kommunikation mehrerer PCs über Hubs Kommunikation mehrerer PCs über Hubs Hub Sollen mehr als zwei Rechner zu einem Netz verbunden werden, können wir dazu einen Hub verwenden, s. Abbildung 3-1. Ein Hub hat je nach Ausführung von vier bis

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

Anleitung TempCW 2011

Anleitung TempCW 2011 TempCW - Wirberg 0 30.09.0 Anleitung TempCW 0 vorläufig Inhaltsverzeichnis Aufbauanleitung... Platine... Programmierstecker... Bestückung...3 Inbetriebnahme... 5 Spannungsversorgung... 5 LEDs... 5 NF Teil...

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

1 Aufgaben zu Wie funktioniert ein Computer?

1 Aufgaben zu Wie funktioniert ein Computer? 71 1 Aufgaben zu Wie funktioniert ein Computer? Netzteil a) Welche Spannungen werden von PC-Netzteilen bereitgestellt? 3.3 V, 5 V, 12 V, -5 V, -12 V. b) Warum können PC-Netzteile hohe Leistungen liefern,

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Übungsaufgaben zum 5. Versuch 13. Mai 2012

Übungsaufgaben zum 5. Versuch 13. Mai 2012 Übungsaufgaben zum 5. Versuch 13. Mai 2012 1. In der folgenden Schaltung wird ein Transistor als Schalter betrieben (Kennlinien s.o.). R b I b U b = 15V R c U e U be Damit der Transistor möglichst schnell

Mehr

Grundlagen der Informatik (BSc) Übung Nr. 5

Grundlagen der Informatik (BSc) Übung Nr. 5 Übung Nr. 5: Zahlensysteme und ihre Anwendung Bitte kreuzen Sie in der folgenden Auflistung alle Zahlensysteme an, zu welchen jeder Ausdruck als Zahl gehören kann! (Verwenden Sie 'x für Wahl, ' ' für Ausschluß

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Ingenieurbüro Bernhard Redemann Elektronik, Netzwerk, Schulung. -Datenblatt- Bernhard Redemann www.b-redemann.de b-redemann@gmx.

Ingenieurbüro Bernhard Redemann Elektronik, Netzwerk, Schulung. -Datenblatt- Bernhard Redemann www.b-redemann.de b-redemann@gmx. USB-Modul PML232-45RL -Datenblatt- Seite 1 Achtung! Unbedingt lesen! Bei Schäden, die durch Nichtbeachten der Anleitung verursacht werden, erlischt der Garantieanspruch. Sicherheitshinweise Das USB-Modul

Mehr

Binäre Gleitkommazahlen

Binäre Gleitkommazahlen Binäre Gleitkommazahlen Was ist die wissenschaftliche, normalisierte Darstellung der binären Gleitkommazahl zur dezimalen Gleitkommazahl 0,625? Grundlagen der Rechnerarchitektur Logik und Arithmetik 72

Mehr

Musterlösung 2. Mikroprozessor & Eingebettete Systeme 1

Musterlösung 2. Mikroprozessor & Eingebettete Systeme 1 Musterlösung 2 Mikroprozessor & Eingebettete Systeme 1 WS2014/2015 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg

Mehr

Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform

Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform Easy-Monitoring Universelle Sensor Kommunikations und Monitoring Plattform Eberhard Baur Informatik Schützenstraße 24 78315 Radolfzell Germany Tel. +49 (0)7732 9459330 Fax. +49 (0)7732 9459332 Email: mail@eb-i.de

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Digital Web-Monitoring Digitales Web-Monitoring

Digital Web-Monitoring Digitales Web-Monitoring Eigenschaften: voll funktionsfähiger embedded Webserver 10BaseT Ethernet Schnittstelle weltweite Wartungs- und Statusanzeige von Automatisierungsanlagen Reduzierung des Serviceaufwands einfaches Umschalten

Mehr

LED DMX Modul MIDI / MAXI. Produktblatt Bestellnummer: 3.01.13.001 / 3.01.13.004

LED DMX Modul MIDI / MAXI. Produktblatt Bestellnummer: 3.01.13.001 / 3.01.13.004 1 Hauptplatine Anschluss Nr Anschlussbez. Beschreibung con 1 1 DMX + Ausgang DMX + con 1 2 DMX Ausgang DMX con 1 3 Ausgang DMX Masse con 1 4 Masse Tastereingang Kl.6 + 7 con 1 5 Prev Tastereingang vorherige

Mehr

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabe: Entwurf und Implementierung eines Weckers auf Basis des MSP430 Education Systems Beschreibung: Gegenstand des Praktikums ist der

Mehr

Serieller S-Bus reduziertes Protokoll (Level 1)

Serieller S-Bus reduziertes Protokoll (Level 1) 2 Serieller S-Bus reduziertes Protokoll (Level 1) Inhalt - S-Bus RS485 Netzwerk - Beispielaufbau - Reduziertes S-Bus-Protokoll - PG5 Projektvorbereitung - Master / Konfiguration und Programmierung - Master

Mehr

Umwandelung einer Physikalischen Größe in eine Elektrische

Umwandelung einer Physikalischen Größe in eine Elektrische Umwandelung einer Physikalischen Größe in eine Elektrische Mit dem Sensor LM35CZ Von Lukas Babilon und Jonas Eichhorn Inhaltsverzeichnis Umwandelung einer physikalischen Größe in eine Elektrische Einleitung...3

Mehr

1. Stellenwerte im Dualsystem

1. Stellenwerte im Dualsystem 1. a) Definitionen Stellenwertsystem Ein Zahlensystem bei dem der Wert einer Ziffer innerhalb einer Ziffernfolge von ihrer Stelle abhängt, wird Stellenwertsystem genannt. Die Stellenwerte sind also ganzzahlige

Mehr

AVR Experimentierboard

AVR Experimentierboard Vorwort Damit ich eine flexible und anpassungsfähige Experimentier- und Entwicklungs-Umgebung bekomme, habe ich nach einer kostengünstige Lösung gesucht. Hierbei bin ich auf das Atmel-Evalutionboard von

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

BFI Industrie-Elektronik GmbH + Co. KG

BFI Industrie-Elektronik GmbH + Co. KG BFI Industrie-Elektronik GmbH + Co. KG ELEKTRONIK AC-Display BFI Industrie-Elektronik GmbH & Co. KG Winchenbachstr. 3b 42281 Wuppertal Tel.: 0202/260446-0 Fax: 0202/260446-24 info@bfi-elektronik.de www.bfi-elektronik.de

Mehr

Aufbauanleitung Wortwecker 135x135mm 2

Aufbauanleitung Wortwecker 135x135mm 2 Aufbauanleitung Wortwecker 135x135mm 2 Rückseite der Platine Vorderseite der Platine LED Matrix Begonnen wird mit dem Einlöten der 5mm Kurzkopf- LEDs auf der Vorderseite der Platine. Die abgeflachte Seite

Mehr

Assembler/C Programmierung eines Mikrocontrollers

Assembler/C Programmierung eines Mikrocontrollers Universität Koblenz Landau Name:..... Institut für Physik Vorname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Assembler/C Programmierung eines Mikrocontrollers I/O und Unterprogramme Versuch

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor Produktbeschreibung 8x230VAC - Eingangsadapter Projekt HomeAutomationSystem Edition V0.1 Author Dipl.-Ing. Pankraz Viktor Copyright Viktor Pankraz 2016 All rights reserved Fragen und Anregungen Sie haben......

Mehr

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner

XY-Plotter. Hardware. Software µp. Software PC. von Thomas Wagner XY-Plotter von Thomas Wagner Im folgendem wird ein XY-Plotter beschrieben, der universell einsetzbar ist, jedoch für einen speziellen Einsatzzweck entworfen wurde: die Übertragung, Anzeige und Speicherung

Mehr

Powernet EIB System. Aktor. C D Technische Daten: Versorgung extern

Powernet EIB System. Aktor. C D Technische Daten: Versorgung extern Produktname: Binärein-/ausgang 2/2fach 16 A REG Bauform: Reiheneinbau Artikel-Nr.: 0439 00 ETS-Suchpfad: Gira Giersiepen, Ein/Ausgabe, Binär/binär, Binärein-/ausgang 2/2fach REG Funktionsbeschreibung:

Mehr

DST EINFÜHRUNG IN MRT (V2)

DST EINFÜHRUNG IN MRT (V2) DST EINFÜHRUNG IN MRT (V2) Aufgabe: Reaktionstester 1. Pflichtenheft Taster an -PA0 8 LEDs an PCx LCD-Anzeige für Ergebnis Die LEDs an Port C sollten unerwartet irgendwann angehen! Jetzt wird die Zeit

Mehr

14 Mee Controller. 14.1 Mee Controller. 14.1.1 Blockschaltbild Mee Controller. 14.1.2 Beschleunigungssensor. HSR Hochschule für Technik Rapperswil

14 Mee Controller. 14.1 Mee Controller. 14.1.1 Blockschaltbild Mee Controller. 14.1.2 Beschleunigungssensor. HSR Hochschule für Technik Rapperswil 14 Mee Controller Der Mee Controller ist ein Gamecontroller, der eine ähnliche Funktionsweise wie jener der bekannten Wii Konsole von Nintendo hat. Dabei werden die Steuerbefehle vom Beschleunigungssensor

Mehr

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski

Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski Tickt ihr Board noch richtig? Frequenzmessung durch ChipVORX als Ergänzung zum Boundary Scan Test. Dipl.-Ing. (FH) Martin Borowski 05.03.205 05.03.205 Was ist ChipVORX? 05.03.205 3 Typische Testaufgaben

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

Atmel AVR für Dummies

Atmel AVR für Dummies Atmel AVR für Dummies fd0@koeln.ccc.de 29.12.2005 Übersicht 1 Hardware Kurzvorstellung Atmega8 Programmierkabel (Eigenbau vs. Kommerzlösung) Alternative: Bootloader (Programmieren via rs232) Software Speicher

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Verbindung zwischen PC und Mikrokontroler Blue-Tooth-Modul Schaltung Parametrierung kein zusätzliches LF

Verbindung zwischen PC und Mikrokontroler Blue-Tooth-Modul Schaltung Parametrierung kein zusätzliches LF Verbindung zwischen PC und Mikrokontroler Obwohl bei PCs und Notebooks kaum noch zu finden, ist die serielle Schnittstelle bei Mikrokontrollern immer noch weit verbreitet. Für die Verbindung zwischen Mikrokontrollern

Mehr

5. Schaltwerke und Speicherelemente S Q

5. Schaltwerke und Speicherelemente S Q 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 72 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Computerarithmetik ( )

Computerarithmetik ( ) Anhang A Computerarithmetik ( ) A.1 Zahlendarstellung im Rechner und Computerarithmetik Prinzipiell ist die Menge der im Computer darstellbaren Zahlen endlich. Wie groß diese Menge ist, hängt von der Rechnerarchitektur

Mehr

Wintersemester Maschinenbau und Kunststofftechnik. Informatik. Tobias Wolf http://informatik.swoke.de. Seite 1 von 18

Wintersemester Maschinenbau und Kunststofftechnik. Informatik. Tobias Wolf http://informatik.swoke.de. Seite 1 von 18 Kapitel 3 Datentypen und Variablen Seite 1 von 18 Datentypen - Einführung - Für jede Variable muss ein Datentyp festgelegt werden. - Hierdurch werden die Wertemenge und die verwendbaren Operatoren festgelegt.

Mehr

mit SD-Karte SD-Karte Inhalt

mit SD-Karte SD-Karte Inhalt mit mit Kartensteckplatz Der Logger ist optional mit einem Kartensteckplatz für eine micro erhältlich. Die verfügt über ein Vielfaches der Speicherkapazität des internen Logger- Speichers. Inhalt Zeitlicher

Mehr

Regiometer. Bedienungsanleitung

Regiometer. Bedienungsanleitung Regiometer Bedienungsanleitung INHALT 1. Hardware Anforderungen 3 2. Installation 4 3. Der erste Start ( Regiometer freischalten ) 6 4. Regiometer Bedienelemente 8 5. Regiometer Profile 9 6. Regiometer

Mehr

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01

Hardware-Pyramide. Teil D3: Vom Transistor zum Ein-Chip-System. Transistor. Größe der Transistoren. 06 / Teil D3 / Seite 01 eil 3: Vom ransistor zum Ein-Chip-ystem ransistoren in der igitaltechnik Gatter Flip-Flops RM Ein-Chip-ystem Hardware-Pyramide EV ystem Zentraleinheit, Peripherie komplee Funktionsbaugr. peicherzellen,

Mehr

Wissenswertes über binäre Felder

Wissenswertes über binäre Felder Wissenswertes über binäre Felder Inhaltsverzeichnis Genauigkeit des PC-Taschenrechners 2 Genauigkeit des PC-Taschenrechners ab Windows 7 2 Ausgangspunkt 3 Binäres Feld ohne Vorzeichen-Definition 3 Binäres

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

EasyWk DAS Schwimmwettkampfprogramm

EasyWk DAS Schwimmwettkampfprogramm EasyWk DAS Schwimmwettkampfprogramm Arbeiten mit OMEGA ARES 21 EasyWk - DAS Schwimmwettkampfprogramm 1 Einleitung Diese Präsentation dient zur Darstellung der Zusammenarbeit zwischen EasyWk und der Zeitmessanlage

Mehr

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik /

.Universelles Demonstrationssystem für Grundlagen der Digitaltechnik / / Mikrocomputertechnik Eingabetastatur Hexadezimal Schalter Addierer 7Segment Anzeige 47 / 13 V.03 Technische Änderungen vorbehalten!.universelles Demonstrationssystem für Grundlagen der / Mikrocomputertechnik.Anzeigen

Mehr

Funktionsbeschreibung Datenlogger DL28W

Funktionsbeschreibung Datenlogger DL28W Funktionsbeschreibung Datenlogger DL28W Voreinstellungen des Datenloggers: Im Datenlogger sind folgende Aufzeichnungs und Alarmwerte voreingestellt: Aufzeichnung: Raumfühler T1 Verdampferfühler T2 Sollwert

Mehr

Lösungen: zu 1. a.) 0 0 1 1 b.) 1 1 1 1 c.) 0 1 1 0 + 1 1 0 0 + 0 0 1 1 + 0 1 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1

Lösungen: zu 1. a.) 0 0 1 1 b.) 1 1 1 1 c.) 0 1 1 0 + 1 1 0 0 + 0 0 1 1 + 0 1 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 Lösungen: zu 1. a.) 0 0 1 1 b.) 1 1 1 1 c.) 0 1 1 0 + 1 1 0 0 + 0 0 1 1 + 0 1 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 vorzeichenlose Zahl: 15 vorzeichenlose Zahl: 18 vorzeichenlose Zahl: 13 Zweierkomplement: - 1

Mehr

Zahlensysteme Seite -1- Zahlensysteme

Zahlensysteme Seite -1- Zahlensysteme Zahlensysteme Seite -- Zahlensysteme Inhaltsverzeichnis Dezimalsystem... Binärsystem... Umrechnen Bin Dez...2 Umrechnung Dez Bin...2 Rechnen im Binärsystem Addition...3 Die negativen ganzen Zahlen im Binärsystem...4

Mehr

Tabelle 139: DO479 Bestelldaten. Produktbezeichnung C-UL-US gelistet B&R ID-Code $40 Anzahl der Ausgänge gesamt in 2 Gruppen zu

Tabelle 139: DO479 Bestelldaten. Produktbezeichnung C-UL-US gelistet B&R ID-Code $40 Anzahl der Ausgänge gesamt in 2 Gruppen zu 8.2 DO479 8.2.1 Allgemeines Die DO479 ist ein Standard-Digitalausgangsmodul. 8.2.2 Bestelldaten Bestellnummer Kurzbeschreibung Abbildung 3DO479.6 2005 Digitales Ausgangsmodul, 16 Transistor-Ausgänge 24

Mehr

Messtechnik zum I2C-Bus

Messtechnik zum I2C-Bus Wenn man Projekte mit mehreren Teilnehmern am i2c-bus aufbaut oder andere Strukturen, die kompliziert sind, dann lohnen sich Messgeräte zur Analyse des Geschehens auf dem Bus. Es werden hier alle Möglichkeiten

Mehr

5-BAY RAID BOX. Handbuch

5-BAY RAID BOX. Handbuch Handbuch 1. Eigenschaften Externes RAID-Gehäuse mit fünf Einschubschächten für 3,5"-SATA-Festplatten RAID-Modi: Standard, 0, 1/10, 3, 5 und Combined (JBOD) Einfache Umschaltung zwischen den RAID-Modi Keine

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

5. Schaltwerke und Speicherelemente

5. Schaltwerke und Speicherelemente 5. chaltwerke und peicherelemente T chaltwerke Takt, peicherelemente, Flip-Flops Verwendung von Flip-Flops peicherzellen, egister Kodierer, peicher 74 chaltwerke vs. chaltkreise chaltkreise bestehen aus

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

TM-72427. Bahnübergangssteuerung Benutzerhandbuch

TM-72427. Bahnübergangssteuerung Benutzerhandbuch TM-72427 Bahnübergangssteuerung Benutzerhandbuch 2011 BioDigit Ltd. Alle Rechte vorbehalten. Die Vervielfältigung und/oder Veröffentlichung der Inhalte des vorliegenden Dokuments in jeglicher Form, einschließlich

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Errata dspic-boards Fachbereich MDT TU-Berlin

Errata dspic-boards Fachbereich MDT TU-Berlin Errata dspic-boards Fachbereich MDT TU-Berlin Betrifft folgende Boards: 1) dspic30f3013-board 2) dspic33fj256gp710-board (TUB-Version) 3) dspic33fj256gp710-board (Aalborg-Version) Ausgabe: 12.10.2007 Autoren:

Mehr