7 Entwurf komplexer synchroner Digitalschaltungen

Größe: px
Ab Seite anzeigen:

Download "7 Entwurf komplexer synchroner Digitalschaltungen"

Transkript

1 7 Entwurf komplexer synchroner Digitalschaltungen Synchrone Digitalschaltungen werden auf "Register Transfer Level" (RTL) beschrieben. Dies bedeutet, dass logische Signale in Registern gespeichert werden. Zwischen den Registern existieren Blöcke mit kombinatorischer Logik. In einem synchronen System werden alle Flipflops mit dem gleichen Takt angesteuert. Asynchrone Preset- und Reset-Signale werden nur zur Initialisierung / Power-On verwendet. Das sonstige Löschen von Registern erfolgt nahezu synchron (FSM-Ausgänge) über den asynchronen Eingang der D-FFs. DIGITALE SYSTEME 7-1

2 Derartig aufgebaute Digitalsysteme arbeiten stabil und sind insbesondere bzgl. Hazards unproblematisch da: 1. Die verwendeten Flipflops frei von Hazards sind (durch den Hersteller garantiert). 2. Davon auszugehen ist, dass die durch kombinatorische Schaltungen entstandenen Hazards bis zur nächsten Taktflanke abgeklungen sind. Der Designer hat neben dem korrekten funktionalen Entwurf des Zustandsautomaten die folgenden Entwurfsaufgaben: 1. Bestimme und minimiere die gesamte Taktverzögerung (Clock Skew) innerhalb des Systems. 2. Stelle sicher, dass die Setup- und Hold-Zeiten der Flipflops auch unter Berücksichtigung der Taktverzögerung noch eingehalten werden. 3. Identifiziere asynchrone Eingangssignale, synchronisiere diese und stelle sicher, dass die Synchronationsflipflops nicht in den metastabilen Zustand gehen. DIGITALE SYSTEME 7-2

3 7.1 Struktur und Timing komplexer synchroner Systeme Takt Kommando Steuersignale Steuersignale Steuersignale Statussignale Datenpfad Input Übliche Strukturierung eines digitalen Systems in Funktionsblöcke, die von einem gemeinsamen Takt gesteuert werden: Die Steuereinheit erhält externe "Kommandos" sowie Statussignale von den Datenpfadkomponenten. Sie selbst erzeugt für diese Komponenten die Steuersignale Der Datenpfad verarbeitet Daten. Er erhält Signale von der Steuereinheit und erzeugt Statussignale für die Steuereinheit. Steuereinheit (Zustandsautomat) Datenprozessor Datenpfad Output Dateneingang Datenausgang DIGITALE SYSTEME 7-3

4 Als Datenpfadkomponenten werden verwendet: Kombinatorische Logik wie z.b. Code-Umsetzer, Multiplexer, Addierer, ALUs Getaktete Logik wie z.b. ladbare (Schiebe-) Register Externe RAM- und ROM-Speicher Typisches Zeitverhalten eines digitalen Systems 1. Kurz nach der steigenden Flanke geht die FSM in den neuen Zustand. Die Datenpfadregister übernehmen die neuen Statussignale nach der Flipflop-Verzögerungszeit (360 ns). 2. Etwas später werden durch das Ausgangsschaltnetz die Moore-Ausgänge des Steuerautomaten aktualisiert. Diese steuern die Datenpfadaktionen des nachfolgenden Takts (380 ns). 3. Deutlich später werden die Ausgänge der Datenpfadkomponenten aktualisiert (400 ns). 4. Etwas später wird durch das Übergangsschaltnetz der Folgezustand berechnet (430 ns). Alle Aktionen müssen vor der Setup-Zeit der Flipflops beendet sein! DIGITALE SYSTEME 7-4

5 Typisches Zeitverhalten eines digitalen Systems Taktreserve Wegen der Flipflop-Verzögerungszeit sind alle Signale noch etwas über die zweite Taktflanke hinaus gültig. Sie sind somit Vorbereitungssignale für die Aktionen des zweiten Taktes. DIGITALE SYSTEME 7-5

6 7.2 Clock-Konzepte Clock-Skew (Taktverzögerung) In synchronen VLSI Entwürfen sind Clock-Signale mit tausenden von D-FFs und synchronen RAMs verbunden und die Verdrahtungslänge der Clock-Leitungen kann mehrere Meter betragen. Zur Steigerung der Systemgeschwindigkeit ist die max. Taktfrequenz durch Optimierung der kombinatorischen Laufzeitpfade in der Regel auf ein Maximum gesteigert. Diese Kombination aus großem Fan-out der Clock-Treiber und hoher Taktfrequenz verursacht Taktverzögerungen zwischen den einzelnen D-FFs relativ zueinander: Clock Skew. CLK Clock skew has to be less than the sum of all other data signal delays! CLK_D DIGITALE SYSTEME 7-6

7 Fehlverhalten durch zu großen Clock-Skew Das zweite Flipflop erzeugt ein fehlerhaftes Ausgangssignal q2='1', da der Clock- Skew (20ns) länger ist, als die Verzögerung auf dem Datenpfad (10ns). Da in der Praxis die Signalverzögerung auf dem Datenpfad nicht vorherzusagen ist, wird in FPGAs und A- SICs ein besonderes Taktverteilungsnetzwerk für die Taktverdrahtung verwendet. Im "Balanced Clock Tree" erhalten alle Flipflops das Taktsignal zum gleichen Zeitpunkt. T Skew Fehler! Korrekt DIGITALE SYSTEME 7-7

8 Balanced Clock-Tree Der Clock-Routing Baum ist so ausgelegt, dass die Verzeigungen gleich belastet sind: die Clock-Verdrahtung muss symmetrisch und niederohmig sein, spezielle Global Clock- Buffer (BUFG) sind starke Stromtreiber, die für steile Clock-Flanken sorgen. FPGA-Designs werden durch eine automatische Clock-Tree Synthese unterstützt. An den Verzweigungen werden spezielle Takttreiber verwendet da ein einziger Treiber überlastet wäre. Auch hier ist darauf zu achten, dass kein Clock-Skew auftritt: Falsch Richtig Auf einem Chip DIGITALE SYSTEME 7-8

9 Gated Clocks Insbesondere in Low-Power Schaltungen müssen Teilfunktionen zeitweise abgeschaltet werden. Dies geschieht über Gated Clocks. Wenn die taktflankengesteuerte Bausteine keinen Freigabe-Eingang besitzen, ist eine Gated-Clock erforderlich. Diese neue Clock-Domäne kann auf verschiedene Weise gewonnen werden: CLK_EN2: NAND_Gatter, welches mit der invertierten Clock angesteuert wird Problem: Hazards im Enable-Signal werden bei CLK='0' an den Taktausgang invertiert weitergereicht. CLK_EN1: Ein D-Latch zeigt dieses Verhalten nicht, es muss jedoch initialisiert werden. DIGITALE SYSTEME 7-9

10 7.2.3 Mehrere Clock Domänen In komplexen Schaltungen existieren für unterschiedliche Funktionen verschiedene Takte (Clock- Domänen). Diese müssen aus einer Master-Clock abgeleitet werden, um asynchrone Schnittstellensignale und damit metastabile Zustände (s.u.) zu vermeiden. Wegen der Signalverzögerung der Taktteiler sind die Taktsignale gegeneinander phasenverschoben! Im einfachsten Fall werden reduzierte Taktfrequenzen durch Taktteiler erzeugt. Clock-Domänen mit Vielfachen der Master-Clock lassen sich mit einer PLL und Frequenzteilern erzeugen f i = f in n m i (n, m i > 1) [H.V.] [H.V.] H. Veendrick: Deep-Submicron CMOS ICs. From Basics to ASICs. Kluwer academic publishers, 2 nd edition 2000 DIGITALE SYSTEME 7-10

11 7.2.4 Taktphasen-Synchronisation Wegen der unterschiedlichen Laufzeiten in einem Taktbaum kann es erforderlich sein, die Phasenverschiebung, die durch verschiedene Takttreiberstufen entsteht, zu kompensieren. Damit wird die phasensynchrone Kommunikation zwischen verschiedenen Schaltungsgruppen (Cores) ermöglicht. Am einfachsten erfolgt dies über eine nachträglich eingefügte Inverterkette im Datenpfad. Der Clock-Skew wird in jedem Core auf den Wert des worst-case Delays angehoben (adaptive skew control). PLL Blöcke zur Phasenkompensation von unterschiedlichen Signalen regeln den Clock-Skew aus. Die Clock-Phase zwischen Knoten B und der Eingangfrequenz wird ausgeregelt. [H.V.]. DIGITALE SYSTEME 7-11

12 7.3 Asynchrone Eingangssignale Asynchron sind alle Eingangssignale einer synchronen Schaltung, die nicht mit dem Systemtakt synchronisiert sind, deren Pegeländerung also irgendwann während des Taktzyklus erfolgen kann, also auch während des Entscheidungsintervalls t E = t S + t h der abtastenden Clock. Dazu gehören z.b.: Anforderungen externer Geräte (z.b. Tastatureingaben, Interrupts, serielle schnittstellen,...) Der Datenaustausch zwischen zwei synchronen Systemen, die jedoch mit unterschiedlicher Frequenz betrieben werden (Rechnerkopplungen, USB- Interfaces zum FPGA-Processor. DIGITALE SYSTEME 7-12

13 Alle asynchronen Eingangssignale, die auf Flipflop-, Zähler- oder Schieberegister- Eingänge geführt werden, müssen über eine spezielle Synchronisationsschaltung geführt werden. Diese stellt sicher, dass sich das Eingangssignal nicht während des Entscheidungsintervalls verändert. Andernfalls würden die Register- bzw. Zählerausgänge in den metastabilen Zustand gehen, in dem die Flipflop-Ausgänge eine gewisse Zeit t r (resolution time) verbleiben und sogar oszillieren können. Der metastabile Zustand liegt im Bereich zwischen dem Set- und Reset-Zustand bei midsupply. Es ist nicht vorhersehbar, welcher Logikpegel sich im Anschluss an t r am D- FF Ausgang einstellt. DIGITALE SYSTEME 7-13

14 7.3.1 Synchronisationsschaltung für lange Impulse An einem vorgeschaltetes Synchronistions- Flipflop selbst können die Setupund Hold-Zeiten verletzt werden. Die im Folgenden dargestellte Situation zeigt, dass sofern das 1. Synchronisations- D-FF in den metastabilen Zustand übergegangen ist, dieser Zustand sich jedoch im Laufe der Taktperiode aufgelöst hat (t r < T CLK ) und damit das 2. D-FF einen korrekten Logikpegel übernehmen kann. Damit wäre die Wahrscheinlichkeit, dass die angeschlossene FSM mit undefinierten Pegeln angesteuert wird erheblich reduziert. Es ist allerdings zu beachten, dass das Signal q_int ebenso den Wert e = 0 hätte annehmen können. Entscheidend dabei ist die Tatsache, dass nur eins der Eingangs- Flipflops metastabil wird und nicht der Zustandsspeicher und/oder andere Datenpfadregister. DIGITALE SYSTEME 7-14

15 Das Signal E wird durch das Synchronisationsflipflop um bis zu zwei Takte verzögert! Die Wahrscheinlichkeit für das Auftreten eines Fehlers wird durch eine mittlere Zeit zwischen auftretenden Fehlern MTBF (mean time between failure) beschrieben. Set-Up Time verletzt DIGITALE SYSTEME 7-15

16 7.3.2 Synchronisationsschaltung für kurze Impulse Das asynchrone Eingangssignal liegt am Takteingang des Synchronisationsflipflops. Dessen Dateneingang liegt immer auf '1'. Das Synchronistions-Flipflop wird durch das zweite Flipflop asynchron zurückgesetzt. Unabhängig von der Impulsdauer des Eingangssignals erzeugt die Schaltung immer einen Impuls für die Länge eines Taktes! Der Ausgang Q1 ist nicht frei von metastabilen Zuständen, ggf. muss die Schaltung um ein weiteres Flipflop ergänzt werden. DIGITALE SYSTEME 7-16

17 7.3.3 Berechnung der Fehlerhäufigkeit MTBF von Flipflops Synchronisationsfehler treten auf, wenn der metastabile Zustand länger andauert, als die vom Hersteller angegeben Zeit tr. Die mittlere Zeit MTBF zwischen zwei Synchronisationsfehlern ist abhängig von: f: Taktfrequenz mit der die Flipflops betrieben werden. a: Frequenz mit der sich das asynchrone Signal ändert. T0: D-FF Konstante gibt das Zeitfenster an, in dem Pegelwechsel einen Fehler erzeugen. τ: D-FF Konstante des Übergangsverhaltens von metastabilen Zuständen. exp( tr / τ) MTBF( tr) = T0 f a Familie τ(ns) T0 (s) tr (ns) t SU (ns) 74LS74 74HCxx XC DIGITALE SYSTEME 7-17

18 Beispiel: Das Interruptsignal eines Mikroprozessor, der mit f = 10 MHz Taktfrequenz arbeitet wird mit einem 74LS74 Flipflop synchronisiert. Der asynchrone Interrupt tritt mit einer Interruptrate von /s auf. Die verfügbare Zeit zum Abklingen des metastabilen Zustands ist tr = 1/f - t SU = 80ns. Fehler treten auf mit MTBF(80 ns) = s, sodass fehlerhafte Übergänge etwa alle 100 Jahrhunderte auftreten! Falls der Prozessor jedoch mit 16MHz getaktet werden soll, ergibt sich: tr = 42.5 ns MTBF(42.5 ns) =3.1 s. Dieser Wert ist unakzeptabel! Berechnen Sie die MTBF für den Baustein XC jeweils bei f=16mhz und f=50mhz! DIGITALE SYSTEME 7-18

19 7.3.4 Entprellen von Schaltern Mechanische Schalter neigen zum Prellen (d.h. der Kontakt wird nach dem Umschalten mehrmals kurzzeitig unterbrochen). Als Taktsignal für Flipflops und Zähler sind nur prellfreie Schalter geeignet! Durch ein RS-Latch in Zusammenhang mit Pull-up-Widerständen läßt sich ein mechanischer Schalter entprellen. Prellender Schalter DIGITALE SYSTEME 7-19

20 7.4 Die 10 Gebote einer vorbildlichen Schaltungsentwicklung (nach J.F. Wakerly, Digital Design Principles; Prentice Hall 1990) 1. All state-machine outputs shall always be registered. Alle Ausgangssignale eines Automaten sollten stets über Register geführt werden! 2. Use clock edge triggered registers never latches. Verwende (flankengesteuerte) Register anstatt von Latches! 3. Inputs to FSMs including resets shall be synchronous Halte Deine Schaltwerkseingänge, einschließlich der Rücksetzeingänge synchron! 4. Beware of fast paths, because of delayed clock signal waveform. Hüte Dich vor schnellen Pfaden, sie könnten Dich in die Hacken beißen! 5. Minimise skew of clock signals. Minimiere die internen Verzögerungen Deiner Taktsignale! 6. Cross clock domains with the greatest caution, Synchronize the signals Vermische Entwurfsgebiete mit unterschiedlichem Taktraster nur mit größter Vorsicht und synchronisiere Deine Signale an den Schnittstellen! DIGITALE SYSTEME 7-20

21 7. Have no dead states in state-machines. Du sollst keine undefinierten Zustände in Deinem Schaltwerk haben! 8. Have no logic with unbroken-asynchronous feedback, in order to avoid the malfunction reports from myriad of test engineers. Du sollst keine Logik mit nicht durch den Takt unterbrochener, asynchroner Rückführung verwenden, wenn Dich die Fehlermeldungen unzähliger Testingenieure nicht heimsuchen sollen! 9. All decode logic must be crafted carefully - avoid asynchronicity Du sollst jede Dekodierlogik sorgfältig entwickeln - vermeide asynchrones Verhalten! 10. Trust not the simulator - it may be cheating with correct looking results. Traue Deinem Simulator nicht - er könnte Dir etwas vorgaukeln, auch wenn Dein Entwurf nichts taugt! DIGITALE SYSTEME 7-21

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC

1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1 Anmerkungen zur Entwicklung von GALs mit LOG/iC 1.1 Generic Array Logic (GAL) - Prinzip Ein GAL (Generic Array Logic) stellt ein (wieder)programmierbares UND- Array mit einem festen ODER Array dar. Zusätzlich

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

11. Flipflops. 11.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. Funktionstabelle: Fall E 1 E 2 A 1 A 2 1 0 0 2 0 1 3 1 0 4 1 1

11. Flipflops. 11.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. Funktionstabelle: Fall E 1 E 2 A 1 A 2 1 0 0 2 0 1 3 1 0 4 1 1 TONI T0EL. Flipflops. Flipflops. NO-Flipflop chalung: E A zur Erinnerung: A B A B 0 0 0 0 0 0 0 E 2 A 2 Funkionsabelle: Fall E E 2 A A 2 0 0 2 0 3 0 4 Beobachung: Das NO-Flipflop unerscheide sich von allen

Mehr

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73

Synchronisierung. Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Synchronisierung Kommunikationstechnik, SS 08, Prof. Dr. Stefan Brunthaler 73 Übertragungsprozeduren Die Übertragung einer Nachricht zwischen Sender und Empfänger erfordert die Übertragung des Nutzsignals

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Um ein solches Dokument zu erzeugen, muss eine Serienbriefvorlage in Word erstellt werden, das auf die von BüroWARE erstellte Datei zugreift.

Um ein solches Dokument zu erzeugen, muss eine Serienbriefvorlage in Word erstellt werden, das auf die von BüroWARE erstellte Datei zugreift. Briefe Schreiben - Arbeiten mit Word-Steuerformaten Ab der Version 5.1 stellt die BüroWARE über die Word-Steuerformate eine einfache Methode dar, Briefe sowie Serienbriefe mit Hilfe der Korrespondenzverwaltung

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Asynchrone Schaltungen

Asynchrone Schaltungen Asynchrone Schaltungen Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 Asynchrone Schaltungen 1/25 2013/07/18 Asynchrone Schaltungen

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 11 AM 27.01.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1 Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4 Kapitel 4: Schaltungen mit Delays Seite 1 Schaltungen mit Delays Inhaltsverzeichnis 4.1 Einführung 4.2 Addierwerke

Mehr

Local Control Network

Local Control Network Netzspannungsüberwachung (Stromausfallerkennung) Die Aufgabe Nach einem Stromausfall soll der Status von Aktoren oder Funktionen wieder so hergestellt werden, wie er vor dem Stromausfall war. Die Netzspannungsüberwachung

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

1 topologisches Sortieren

1 topologisches Sortieren Wolfgang Hönig / Andreas Ecke WS 09/0 topologisches Sortieren. Überblick. Solange noch Knoten vorhanden: a) Suche Knoten v, zu dem keine Kante führt (Falls nicht vorhanden keine topologische Sortierung

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Anleitung zur Nutzung des SharePort Utility

Anleitung zur Nutzung des SharePort Utility Anleitung zur Nutzung des SharePort Utility Um die am USB Port des Routers angeschlossenen Geräte wie Drucker, Speicherstick oder Festplatte am Rechner zu nutzen, muss das SharePort Utility auf jedem Rechner

Mehr

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten

Das große ElterngeldPlus 1x1. Alles über das ElterngeldPlus. Wer kann ElterngeldPlus beantragen? ElterngeldPlus verstehen ein paar einleitende Fakten Das große x -4 Alles über das Wer kann beantragen? Generell kann jeder beantragen! Eltern (Mütter UND Väter), die schon während ihrer Elternzeit wieder in Teilzeit arbeiten möchten. Eltern, die während

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Partitionieren in Vista und Windows 7/8

Partitionieren in Vista und Windows 7/8 Partitionieren in Vista und Windows 7/8 Windows Vista und Windows 7 können von Haus aus Festplatten partitionieren. Doch die Funktion ist etwas schwer zu entdecken, denn sie heißt "Volume verkleinern".

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Zahlenwinkel: Forscherkarte 1. alleine. Zahlenwinkel: Forschertipp 1

Zahlenwinkel: Forscherkarte 1. alleine. Zahlenwinkel: Forschertipp 1 Zahlenwinkel: Forscherkarte 1 alleine Tipp 1 Lege die Ziffern von 1 bis 9 so in den Zahlenwinkel, dass jeder Arm des Zahlenwinkels zusammengezählt das gleiche Ergebnis ergibt! Finde möglichst viele verschiedene

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Benutzerkonto unter Windows 2000

Benutzerkonto unter Windows 2000 Jeder Benutzer, der an einem Windows 2000 PC arbeiten möchte, braucht dazu ein Benutzerkonto. Je nach Organisation des Netzwerkes, existiert dieses Benutzerkonto auf der lokalen Workstation oder im Active

Mehr

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch

Computertechnik 1. 4.3 Schaltwerke, Sequentielle Schaltungen. Flip-Flops (FF) 4.3.1 Flip-Flops (FF) Dr. Wolfgang Koch omputertechnik r. Wolfgang Koch 4.3 chwerke, equentielle chungen peicher, egister... : Frühere Eingaben (innere Zustände) spielen eine olle (werden gespeichert) Friedrich chiller University ena epartment

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis

Unterrichtsmaterialien in digitaler und in gedruckter Form. Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Unterrichtsmaterialien in digitaler und in gedruckter Form Auszug aus: Übungsbuch für den Grundkurs mit Tipps und Lösungen: Analysis Das komplette Material finden Sie hier: Download bei School-Scout.de

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

VIP-Programm. Herzlich Willkommen!

VIP-Programm. Herzlich Willkommen! VIP-Handbuch VIP-Programm Herzlich Willkommen! Wir freuen uns sehr, dass du dich als Smyles VIP-Mitglied angemeldet hast. Nun ist es fast geschafft und es sind nur noch wenige Schritte zum exklusiven Beta-Tester.

Mehr

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER

Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos im Zusammenspiel mit shop to date von DATA BECKER Abamsoft Finos in Verbindung mit der Webshopanbindung wurde speziell auf die Shop-Software shop to date von DATA BECKER abgestimmt. Mit

Mehr

Abituraufgabe zur Stochastik, Hessen 2009, Grundkurs (TR)

Abituraufgabe zur Stochastik, Hessen 2009, Grundkurs (TR) Abituraufgabe zur Stochastik, Hessen 2009, Grundkurs (TR) Eine Firma stellt USB-Sticks her. Sie werden in der Fabrik ungeprüft in Packungen zu je 20 Stück verpackt und an Händler ausgeliefert. 1 Ein Händler

Mehr

Mean Time Between Failures (MTBF)

Mean Time Between Failures (MTBF) Mean Time Between Failures (MTBF) Hintergrundinformation zur MTBF Was steht hier? Die Mean Time Between Failure (MTBF) ist ein statistischer Mittelwert für den störungsfreien Betrieb eines elektronischen

Mehr

Du hast hier die Möglichkeit Adressen zu erfassen, Lieferscheine & Rechnungen zu drucken und Deine Artikel zu verwalten.

Du hast hier die Möglichkeit Adressen zu erfassen, Lieferscheine & Rechnungen zu drucken und Deine Artikel zu verwalten. Bedienungsanleitung Professionell aussehende Rechnungen machen einen guten Eindruck vor allem wenn du gerade am Beginn deiner Unternehmung bist. Diese Vorlage ist für den Beginn und für wenige Rechnungen

Mehr

Powermanager Server- Client- Installation

Powermanager Server- Client- Installation Client A Server Client B Die Server- Client- Funktion ermöglicht es ein zentrales Powermanager Projekt von verschiedenen Client Rechnern aus zu bedienen. 1.0 Benötigte Voraussetzungen 1.1 Sowohl am Server

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

Bitte beachten Sie die Installations-/Systemvoraussetzungen und freigegebenen Betriebssysteme.

Bitte beachten Sie die Installations-/Systemvoraussetzungen und freigegebenen Betriebssysteme. Installation SFirm 3.1 mit Datenübernahme Mit der Installation von SFirm 3.1 wird nicht wie bisher die alte Version von SFirm ersetzt sie bleibt erhalten. D.h. nach der Installation von SFirm 3.1 müssen

Mehr

BERECHNUNG DER FRIST ZUR STELLUNGNAHME DES BETRIEBSRATES BEI KÜNDIGUNG

BERECHNUNG DER FRIST ZUR STELLUNGNAHME DES BETRIEBSRATES BEI KÜNDIGUNG Frist berechnen BERECHNUNG DER FRIST ZUR STELLUNGNAHME DES BETRIEBSRATES BEI KÜNDIGUNG Sie erwägen die Kündigung eines Mitarbeiters und Ihr Unternehmen hat einen Betriebsrat? Dann müssen Sie die Kündigung

Mehr

Sequentielle Schaltungen (10a)

Sequentielle Schaltungen (10a) equentielle chaltungen (a) chaltung des -FF: Master lave (lock) Vorteil: das Problem mit dem instabilen Zustand ist beseitigt Nachteil: längere (verzögerte) chaltungsdurchlaufzeit + höherer Aufwand (2

Mehr

proles-login. Inhalt [Dokument: L201401-1018 / v1.0 vom 16.01.2014]

proles-login. Inhalt [Dokument: L201401-1018 / v1.0 vom 16.01.2014] proles-login. [Dokument: L201401-1018 / v1.0 vom 16.01.2014] Inhalt 1. Einleitung 2 2. email-adresse registrieren 2 3. Benutzerinformationen des Mitarbeiters 3 4. Passwort-Rücksetzung 4 5. Passwort ändern

Mehr

Simulink: Einführende Beispiele

Simulink: Einführende Beispiele Simulink: Einführende Beispiele Simulink ist eine grafische Oberfläche zur Ergänzung von Matlab, mit der Modelle mathematischer, physikalischer bzw. technischer Systeme aus Blöcken mittels plug-and-play

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

50 Fragen, um Dir das Rauchen abzugewöhnen 1/6

50 Fragen, um Dir das Rauchen abzugewöhnen 1/6 50 Fragen, um Dir das Rauchen abzugewöhnen 1/6 Name:....................................... Datum:............... Dieser Fragebogen kann und wird Dir dabei helfen, in Zukunft ohne Zigaretten auszukommen

Mehr

3.14 Die Programmieroberfläche Programmierung

3.14 Die Programmieroberfläche Programmierung 121 3.14 Die Programmieroberfläche Programmierung Besonderheiten Die Oberflächen der einzelnen Quellen (3S, KW-Software, Siemens-TIA-Portal, logi.cad 3, PAS4000) sind in sich unterschiedlich. Aber auch

Mehr

Lizenzierung von System Center 2012

Lizenzierung von System Center 2012 Lizenzierung von System Center 2012 Mit den Microsoft System Center-Produkten lassen sich Endgeräte wie Server, Clients und mobile Geräte mit unterschiedlichen Betriebssystemen verwalten. Verwalten im

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Institut für Informatik. Aufgaben zum Elektronik - Grundlagenpraktikum. 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen UNIVERSITÄT LEIPZIG Institut für Informatik Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Schaltungen mit digitalen Speicherschaltkreisen

Mehr

Installationsanleitung für Update SC-Line 2010.3

Installationsanleitung für Update SC-Line 2010.3 SYSTEMVORAUSSETZUNGEN SC-Line 2010.3 benötigt für den Betrieb Windows 2000, Windows XP, Windows Vista - (32Bit und 64Bit), Windows 7 - (32Bit und 64Bit), Windows Server 2003, Windows Server 2008 - (32Bit

Mehr

Installationshandbuch. Software Version 3.0

Installationshandbuch. Software Version 3.0 Installationshandbuch Software Version 3.0 Installationshandbuch Einführung Gratulation, dass du dich für e-mix entschieden hast. e-mix bietet dir alles, was du für einen professionellen Auftritt benötigst.

Mehr

10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit

10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit 10.0 Quick Start mit AT89LP2052 Elliptecmotor Kit Dieses Kapitel beschreibt die Inbetriebnahme und den ersten Test mit dem AT89LP2052 Elliptecmotor Kit. Eine einfache Access Software für die Steuerung

Mehr

5. Flipflops. 5.1 Nicht-taktgesteuerte Flipflops. 5.1.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2.

5. Flipflops. 5.1 Nicht-taktgesteuerte Flipflops. 5.1.1 NOR-Flipflop. Schaltung: zur Erinnerung: E 1 A 1 A 2 E 2. AO TIF 5. Nich-akgeseuere Flipflops 5.. NO-Flipflop chalung: E A zur Erinnerung: A B A B 0 0 0 0 0 0 0 E 2 A 2 Funkionsabelle: Fall E E 2 A A 2 0 0 2 0 3 0 4 Erklärungen: Im peicherfall behalen die Ausgänge

Mehr

1.1 Allgemeines. innerhalb der Nachtzeit (19:00 24:00) Gesamte Normalarbeitszeit (16:00 19:00)

1.1 Allgemeines. innerhalb der Nachtzeit (19:00 24:00) Gesamte Normalarbeitszeit (16:00 19:00) Abschnitt 1 Überstunden in der Nacht 11 1.1 Allgemeines # Die Ermittlung und Abrechnung von Überstunden unter der Woche, an Sonn- und Feiertagen wurde bereits im Band I, Abschnitt 3 behandelt. Sehen wir

Mehr

Online-Bestellung Tageskarten für Mitglieder des FC St. Pauli, die nicht im Besitz einer Dauer- oder Saisonkarte sind.

Online-Bestellung Tageskarten für Mitglieder des FC St. Pauli, die nicht im Besitz einer Dauer- oder Saisonkarte sind. Online-Bestellung Tageskarten für Mitglieder des FC St. Pauli, die nicht im Besitz einer Dauer- oder Saisonkarte sind. 1. Anmeldung Soweit noch nicht geschehen, muss im Vorfeld (vor Verkaufsstart am 21.07.)

Mehr

A-196 PLL. 1. Einführung VCO. LPF Frequ. doepfer System A - 100 PLL A-196

A-196 PLL. 1. Einführung VCO. LPF Frequ. doepfer System A - 100 PLL A-196 doepfer System A - 100 PLL A-196 1. Einführung A-196 PLL VCO CV In Offset Das Modul A-196 enthält eine sogenannte Phase Locked Loop (PLL) - im deutschen mit Nachlaufsynchronisation bezeichnet, die aus

Mehr

Herzlich Willkommen Bienvenue Welcome. Beispiele zur Mathematik-/Logikfunktion. Manfred Schleicher

Herzlich Willkommen Bienvenue Welcome. Beispiele zur Mathematik-/Logikfunktion. Manfred Schleicher Herzlich Willkommen Bienvenue Welcome Beispiele zur Mathematik-/Logikfunktion Manfred Schleicher Hinweise zur Präsentation Diese Präsentation zeigt Beispiele zur Anwendung der Mathematikfunktion: Mittelwertbildung

Mehr