Digitaltechnik Übungsaufgaben. Sequentielle Schaltungen Mit Musterlösungen

Ähnliche Dokumente
Musterlösungen. zu den Übungsaufgaben vom

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben

Praktikum Digitaltechnik SS Versuch 2

Digitaltechnik. Aufgabensammlung 1

Praktikum Digitaltechnik SS Versuchsbeschreibungen

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

Speicherung digitaler Signale

3.1 Schaltwerke als Reihenschaltung von Flipflops

Kapitel 4. Versuch 415 T-Flipflop

2.5.1 Das Basis-Flipflop

DuE-Tutorien 16 und 17

Praktikum Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik

Flipflops. asynchron: Q t Q t+t

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Laborübung 4. Zustandsautomaten (Finite State Machines)

Protokoll zum Versuch Flip-Flop

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Elektrische Logiksysteme mit Rückführung

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Praktikum Grundlagen der Elektronik

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Versuch: D2 Zähler und Ampelschaltung

Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

Speicherregister/Latches (1) 1

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Flip Flops allgemein - Digitale Signalspeicher

10. Elektrische Logiksysteme mit

16 Latches und Flipflops (Bistabile Kippstufen)

Synthese digitaler Schaltungen Aufgabensammlung

KLAUSUR DIGITALTECHNIK SS 00

Logik mit Gedächtnis : Sequentielle Logik

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Digitaltechnik II SS 2007

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

Multivibrator-Grundschaltungen

Hardwarearchitekturen und Rechensysteme

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

DuE-Tutorien 17 und 18

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Grundtypen Flip-Flops

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

Vorbereitung zum Versuch

Versuch 3: Sequenzielle Logik

Basisinformationstechnologie I

Versuch P1-63 Schaltlogik Vorbereitung

Lösung. H_DA Dr. Frank Fachbereich I. Unterschrift:

1. Speicherbausteine JK-RS-Master-Slave-Flip-Flop

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Schaltwerke Schaltwerk

Teil 1: Digitale Logik

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Ideale Impulsglieder ohne Einsatz von RC-Gliedern und nicht getaktete (asynchrone) JK-Flipflops

Schaltungen Jörg Roth 197

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

5.2 Endliche Automaten

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

Grundlagenlabor Digitaltechnik GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 4 VERSUCHSTHEMA FLIP-FLOPS ALS ZÄHLER PROTOKOLLANT/ -IN

III. Asynchrone und synchrone Schaltwerke

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Angewandte Physik II: Elektronik

Teil 1: Digitale Logik

Grundlagen der Informatik

4. Speicherelemente. 4.1 Latches und Flipflops Speicherung durch gesteuerte Selbsthaltung

FAKULTÄT FÜR INFORMATIK

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard)

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

Sequenzielle Schaltungen (1)

Lerntext zum Kapitel Digitaltechnik

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Digitale Netzwerke... 2

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

Technische Informatik I

Klausur Lösung

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude (Anfängerpraktikum) 1. Stock, Raum 430

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

7.0 Endliche Zustandsautomaten und Steuerwerke

Grundlagen der Rechnerarchitektur

4. Digitale Netzwerke

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Einführung in die technische Informatik

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Versuch: D1 Gatter und Flipflops

9 Multiplexer und Code-Umsetzer

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar

Transkript:

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 1 Digitaltechnik Übungsaufgaben Sequentielle Schaltungen Mit Musterlösungen 1. 2. 12 1. An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein Latch-Register? (Kurze Begründung.) Abb. 1 2. An den Eingängen eines 2-Bit-Registers liegen Impulse gemäß Abb. 2 an. a) Zeichnen Sie die ausgangsseitigen Impulsfolge ein, wenn es sich um ein Latch- Register handelt. b) Zeichnen Sie die ausgangsseitigen Impulsfolge ein, wenn es sich um ein D-Flipflop- Register handelt. Abb. 2

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 2 3. Wir bearbeiten nochmals die Aufgabe mit den Lüftern (Abb. 3). Die kombinatorischen Verknüpfungen wurden bereits entworfen; die ursprünglichen Fehleranzeigesignale für die grüne und die rote LED sind also gegeben. Jetzt soll die Fehleranzeige solange gehalten werden (auch dann, wenn der jeweilige Fehler zeitweise wieder verschwindet (Aussetzer)), bis der Servicetechniker einen entsprechenden Schalter betätigt (Kontakt SRVCR). Der Kontakt wirkt aktiv Low. Abb. 3 4. An den Eingängen eines JK-Flipflop messen Sie den Signalverlauf gemäß Abb. 4. Was erwarten Sie am Ausgang? Es handelt sich um ein flankengesteuertes JK-Flipflop, das auf die Taktrückflanke (High-Low) schaltet (z. B. um den Typ 74x112). Abb. 4 5. Entwerfen Sie eine Zusatzbeschaltung, die ein T-Flipflop in ein JK-Flipflop umwandelt (Abb. 5). Abb. 5

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 3 6. Einem Mikrocontroller soll ein Fehler-Flipflop vorgeschaltet werden (Abb. 6. Dieses soll durch ein impulsförmiges Fehlersignal ERROR gesetzt und durch ein programmseitig schaltbares Signal ERROR_RESET gelöscht werden. Flipfloptyp: D-Flipflop 7474. Geben Sie zwei Schaltungen an, die ein jeweils anderes Schaltverhalten verwirklichen: a) Das Flipflop soll gesetzt bleiben, falls während des programmseitigen Löschens (also bei aktivem ERROR_RESET). b) Das Flipflop soll durch Erregen von ERROR_RESET unter allen Umständen gelöscht werden, auch wenn währenddessen das ERROR-Signal erregt wird. Abb. 6 7. Denksportaufgabe: Abb. 7 zeigt ein JK-Flipflop vom Typ 74x109. Können Sie es sich denken, weshalb man den K-Eingang invertiert ausgelegt hat? Abb. 7 8. Abb. zeigt einen Schaltungsausschnitt. Wird diese Schaltung immer zuverlässig arbeiten? Erläutern Sie kurz, welches Problem Sie sehen und schlagen Sie ggf. eine Änderung vor. Abb. 8

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 4 9. Entwerfen Sie ein vollsynchrones 4-Bit-Register mit den Funktionen gemäß der folgenden Tabelle (Abb. 9). Grundlage: D-Flipflops sowie beliebige Gatter. Es genügt, eine Bitposition sowie ggf. erforderliche zentrale Schaltmittel darzustellen. Vorrangregeln (wenn zwei oder mehr Steuersignale gleichzeitig aktiv sind): C C CLR dominiert über alle anderen Funktionen. LD dominiert über INV. Signal Funktion LD Laden INV Invertieren des Inhaltes (aus 0 wird 1, aus 1 wird 0) CLR Löschen (alle Stellen = 0) Datenbelegung halten Abb. 9 10. Entwerfen Sie ein vollsynchrones 4-Bit-Register mit den Funktionen gemäß der folgenden Tabelle (Abb. 10. Grundlage: D-Flipflops sowie beliebige Gatter. Es genügt, eine Bitposition sowie ggf. erforderliche zentrale Schaltmittel darzustellen. Vorrangregeln: keine. Signal Funktion LD Laden LDM Laden maskiert. Nur die Bitpositionen laden, deren Maskenbit mit 1 belegt ist. Alle anderen so lassen, wie sie sind TGM Umschalten maskiert (Toggle). Nur die Bitpositionen ändern (von 0 nach 1 oder von 1 nach 0), deren Maskenbit mit 1 belegt ist. Alle anderen so lassen, wie sie sind CLR Löschen (alle Stellen = 0) Datenbelegung halten

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 5 Abb. 10 11. Entwerfen Sie einen Zustandsautomaten (State Machine), der das folgende Zustandsdiagramm durchläuft (Abb. 11). Anfangszustand: Z1. Eingangssignale: T und W. Codierung: OHE. Flipfloptyp: D-FF. Um das Anfangsrücksetzen müssen Sie sich nicht kümmern. Abb. 11 12. Welches Impulsdiagramm ergibt sich aus der folgenden Schaltung (Abb. 12)? Es genügt, einen Schieberegister-Umlauf darzustellen.

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 6 Abb. 12 13. Entwerfen Sie einen Johnsonzähler (mit D-Flipflops), der modulo 6 zählt (Abb. 13) und erweitern Sie ihn so, daß er alle 6 Stellungen im 1-aus-n-Code ausgibt (das ist die Grundlage für den elektronischen Würfel der ersten Übungen (s. dort Aufgabe 3)). Es genügt, die Schaltgleichungen der Decodierung anzugeben. Abb. 13 14. Entwerfen Sie einen Kabeltester. Er soll Kabel mit maximal acht Einzelleitungen prüfen können (vgl. die übliche Netzwerkkabel). Ein solcher Tester (Abb. 14) besteht aus einem Stimulusgenerator, einer Anzeigeeinheit und einem Vergleicher. Der Stimulusgenerator wirkt so, daß er zunächst eine 1 auf die erste Leitung gibt, dann eine 1 auf die zweite Leitung usw. a) Entwerfen Sie einen Stimulusgenerator, der ein derartiges Prüfmuster zyklisch abgibt. Bauelemente: Flipflops, Register, Gatter. b) Entwerfen Sie einen Vergleicher, der kontrolliert, ob das Prüfmuster am anderen Ende tatsächlich ankommt. Er soll eine Gesamt-Fehleranzeige ERROR erregen (ERROR = 0, wenn alles o.k., ansonsten = 1).

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 7 Abb. 14 15. Entwerfen Sie eine Zählschaltung mit drei T-Flipflops C, B, A, die gemäß der folgenden Tabelle zyklisch zählt (von Stellung 6 wieder nach Stellung 1). Beim Einschaltrücksetzen wird die Stellung 1 eingestellt (darim müssen Sie sich nicht kümmern). Es genügt die Angabe der Schaltgleichungen ohne Minimierung. Stellung C B A 1 0 0 1 2 0 1 1 3 0 1 0 4 1 1 0 5 1 1 1 6 1 0 1

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 8 Musterlösungen Lösung 1 Es kommt darauf an, wie sich der Ausgang verhält, wenn der Takt aktiv (= High) ist. Beim Latch folgt der Ausgang dem Eingang anch, beim D-Flipflop entspricht er der Eingangsbelegung zur Zeit der Low- High-Flanke des Taktsignals, ändert sich also später nicht. Hier ist zu erkennen, daß der Ausgang dem Eingang nachfolgt (Abb. 1). Aso ein Latch. Abb. 1 Lösung 2 Beim Latch ist der Signalverlauf an den Dateneingängen bei aktivem Takt (High) 1:1 zu übernehmen. Ist der Takt Low, so hält der Ausgang die Eingangsbelegung zur Zeit der High-Low-Flanke des Taktsignals. Beim D-Flipflop sind nur die Low-High-Flanken des Taktsignals von Bedeutung. Dort die Eingangsbelegung abnehmen und bis zur nächsten Low-High-Flanke des Taktes halten (Abb. 2). Abb. 2 Lösung 3 Die Fehlersignale müssen in RS-Latches gehalten werden (Abb. 3). Bei der Anzeige muß das Blinken Vorrang haben. Abb. 3

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 9 Lösung 4 Gehen wir die Takte einzeln durch (Abb. 4). Wichtig sind die High-Low-Flanken. Abb. 4 1) J = 1, K = 0, also Q = 1. 2) J = 0, K = 1, also Q = 0. 3) J = 1, K = 1, also ändert sich Q. Es ergibt sich Q = 1. 4) Setzen (S) ist aktiv und dominiert über die Taktauswertung. Q = 1. 5) J = 1, K = 1, also ändert sich Q. Es ergibt sich Q = 0. 6) J = 0, K = 0, also tut sich nichts; Q bleibt = 0. 7) J = 0, K = 1, also Q = 0. Lösung 5 Um die JK-Funktion herbeizuführen, steht uns nur die T-Funktion zur Verfügung. Bei T = 1 ändert sich die Belegung von Q mit dem nächsten Takt, bei T = 0 wird sie gehalten. Betrachten wir die Automatentabelle eines JK-Flipflops: J K Q Q 1 Änderungen zwischen Q und Q 1 (= T-Funktion) 0 0 0 0-0 0 1 1-0 1 0 0-0 1 1 0 1 1 0 0 1 1 1 0 1 1-1 1 0 1 1 1 1 1 0 1 Eine Q-Änderung (= T-Funktion) ist also bei folgenden Belegungen erforderlich: J K Q 0 1 1 1 0 0 1 1 0 1 1 1 Die ursprüngliche Schaltfunktion (aus der Wahrheitstabelle bzw. Belegungsliste abzulesen):

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 10 Ersichtlicherweise (z. B. Vorgehen mittels Quine-McCluskey, 1. Schritt) kann man die erste mit der vierten und die zweite mit der dritten Belegung zusammenfassen (mittels KV-Diagramm kommt man auf das gleiche Ergebnis). Abb. 5 zeigt die zugehörige Schaltung. Abb. 5 Lösung 6 Wichtig ist, daß das Signal, das jeweils vorrangig (dominierend) wirken soll, an den PRESET- bzw. CLEAR-Eingang des Flipflops angeschlossen wird (Abb. &). a) das Flipflop soll gesetzt bleiben, falls während des programmseitigen Löschens (also bei aktivem CLEAR) ERROR aktiviert wird. D. h., ERROR soll über ERROR_RESET dominieren. Also ERROR an den PRESET-Eingang; Rücksetzen durch Übernehmen einer Null mit ERROR_RESET als Taktimpuls. b) das Flipflop soll durch Erregen von CLEAR unter allen Umständen gelöscht werden, auch wenn währenddessen das ERROR-Signal erregt wird. D. h., ERROR_RESET soll über ERROR dominieren. Also ERROR_RESET an den CLEAR-Eingang; Setzen durch Übernehmen einer Eins mit ERROR als Taktimpuls. Abb. 6 Lösung 7 Kann sein, daß für einen eingangsseitigen Negator kein Platz mehr war oder daß man einen Design- Fehler als Feature verkauft. Es hat aber auch etwas für sich (Abb. 7): um ein D-Flipflop zu bauen, genügt es, J und einfach zusammenzuschalten. Abb. 7

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 11 Lösung 8 So geht es garantiert schief... Das Problem ergibt sich dann, wenn eine Änderung des asynchronen Eingangssignals (SENSOR_INPUT) mit eine Low-High-Taktflanke zusammentrifft. Es kann dann sein, daß das eine Flipflop die neue und das andere die alte Signalbelegung übernimmt. Die weitere Schaltung würde dann mit zwei unterschiedlichen Werten desselben Signals arbeiten. Hinzu kommt die Gefahr metastabiler Zustände. Abhilfe: das Signal an einer einzigen Stelle synchronisieren (eine wichtige Regel beim Schaltungsentwurf!). Hierzu kann z. B. ein weiteres Flipflop vorgeschaltet werden (Abb. 8). Abb. 8 Lösung 9 Zunächst werden keine Vorrangregeln berücksichtigt. Es sind drei Funktionen. Demzufolge benötigen wir 3 + 1 = 4 UND-Gatter, denen eine ODER-Verknüpfung nachzuschalten ist (Abb. 9a). Jede Funktion hat ein Steuersignal, deshalb ist nichts zu decodieren. Alle Steuersignale werden an ein NOR-Gatter angeschlossen. Dessen Ausgang dient dazu, die Rückführung auszuwählen (Selbsthaltung). In der Praxis wäre die Aufgabe damit gelöst. Alles weitere würde das Entwicklungssystem erledigen. Abb. 9a Eine Vereinfachungsmöglichkeit ist offensichtlich: das Steuersignal CLR muß nicht an die ODER- Verknüpfung angeschlossen werden (Abb. 9b).

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 12 Abb. 9b Nun sollen die Vorrangregeln berücksichtigt werden. Hierzu werden die UND-Verknüpfungen um die entsprechenden Inhibitionen erweitert; alle Signale, die inaktiv sein müssen, wenn die jeweilige Funktion ausgeführt werden soll, werden jeweils invertiert an die betreffenden UND-Gatter angeschlossen (Abb. 9c). Abb. 9c Lösung 10 So kompliziert wird es in der Klausur nicht... Infolge der Maskierung müssen die Flipflops für die jeweiligen Funktionen einzeln (selektiv) angesteuert werden (Abb. 10). Ist das Maskenbit inaktiv, ist die jeweilige Belegung zu halten (Rückführung). 1 - Selbsthaltung, wenn nichts zu tun; 2 - Laden; 3 - Laden maskiert bei gesetztem Maskenbit (Datenübernahme); 4 - Laden maskiert bei gelöschtem Maskenbit (Selbsthaltung); 5 - Umschalten maskiert bei gesetztem Maskenbit (invertierte Rückführung; Toggle-Funktion); 6 - Umschalten maskiert bei gelöschtem Maskenbit (Selbsthaltung).

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 13 Abb. 10 Lösung 11 Ein D-Flipflop als Zustandsspeicher ist zu setzen, wenn eine Setzbedingung erfüllt und der jeweils zu verlassende Zustand aktiv ist. Es ist so lange zu halten, bis eine der Übergangsbedingungen erfüllt ist (Abb. 11). Abb. 11

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 14 Die Schaltgleichungen können aus dem Zustandsdiagramm abgelesen werden: Z1D = Z3 T Z1 T Z2 = Z1 T Z2 W D Z3 = Z2 W Z3 T D Lösung 12 Es handelt sich im Grunde um einen Ringzähler, in dem eine Null umläuft. Die Nullimpulse setzen und löschen die RS-Latches zu verschiedene Taktzeitpunkten (Abb. 12). Es ergibt sich ein Impulsmuster (RAS, SWITCH ARS, CAS, WRITE PULSE), das für die Ansteuerung asynchroner DRAMs typisch ist. Abb. 12 Lösung 13 Für einen Johnsonzähler modulo n brauchen wir n/2 Flipflops (hier also drei Stück). Es ist im Grunde ein Schieberegister, dessen letzter Ausgang invertiert auf den Schiebeeingang zurückgeführt ist (Abb. 13). Die nachfolgende Tabelle veranschaulicht die Decodierung. Abb. 13

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 15 A B C Decodierung 1 aus n 1 0 0 0 A C 2 1 0 0 A B 3 1 1 0 B C 4 1 1 1 A C 5 0 1 1 A B 6 0 0 1 B C Lösung 14 Die Prüffolge wird von einem Ringzähler erzeugt, der aus acht Flipflops besteht, die über ein NOR- Gatter rückgekoppelt sind (Impulsfolge ähnlich Abb. 12, nur andere Polung). Der Vergleicher besteht aus XOR-Gattern, die disjunktiv verknüpft sind (Abb. 14). Abb. 14 Lösung 15 Prinzip: In jeder Stellung für jedes Flipflop festlegen, wie es mit dem jeweils nächsten Takt zu schalten hat. Die im jeweils nächsten Takt einzunehmende Belegung wird gemäß Zählrichtung aus der Zustandsfolgetabelle abgelesen (vorwärts = von oben nach unten, rückwärts = von unten nach oben). Es ist immer dann eine Eins an den T-Eingang anzulegen, wenn sich mit dem jeweils nächsten Takt die Belegung der Zählstelle ändern soll. Tt () = Qt () Qt ( +1) Stellung C B A T C T B T A 1 0 0 1 0 1 0 2 0 1 1 0 0 1 3 0 1 0 1 0 0 4 1 1 0 0 0 1 5 1 1 1 0 1 0 6 1 0 1 1 0 0

DIGITALTECHNIK ÜBUNGEN WS 11/12 2 16 Erläuterung am Beispiel der Stelle A: Stellung A T A 1 1 0 weil in Stellung 2 keine Änderung ggenüber Stellung 1 2 1 1 weil in Stellung 3 Änderung von 1 auf 0 3 0 0 weil in Stellung 4 keine Änderung gegenüber Stellung 3 4 0 0 weil in Stellung 5 Änderung von 0 auf 1 5 1 1 weil in Stellung 6 keine Änderung gegenüber Stellung 5 6 1 0 weil in Stellung 1 keine Änderung gegenüber Stellung 6 Die Schaltgleichungen: T = C B A C B A A T = C B A C B A B T = C B A C B A C