Qualitätssicherung für wiederverwendbare Schaltungsbeschreibungen durch HDL-Code-Analyse

Größe: px
Ab Seite anzeigen:

Download "Qualitätssicherung für wiederverwendbare Schaltungsbeschreibungen durch HDL-Code-Analyse"

Transkript

1 Qualitätssicherung für wiederverwendbare Schaltungsbeschreibungen durch HDL-Code-Analyse Steffen Rülke, Jörg Schneider (ruelke Frank Rogin, Peter Bachmann (fr Außenstelle für Automatisierung des Schaltkreis- und Systementwurfs

2 Inhalt Inhalt Einführung Codierrichtlinien Analysekonzepte Compiler-Generator Ansatz Zusammenfassung 2

3 Einführung Wiederverwendung im Schaltkreis und Systementwurf Kriterien Optimierungs-Parameter im Schaltkreisentwurf Fläche, Zeitverhalten, Verlußtleistung, Produkteinführungszeit constraints (time-to-market) Area Timing Power Efficiency Intellectual Property (IP) Spezifikation und Implementierung of IPs: Hardwarebeschreibungssprachen (VHDL, Verilog,...), Simulation, Synthese, Verifikation, unterschiedliche Abstraktionsebenen (Verhalten, RT, Logik), Überprüfung des HDL- Codes vor der Synthese (RMM). 3

4 Einführung Wiederverwendung im Schaltkreis- und Systementwurf (2) Probleme Lösung HDL- Modellierung: Vielfalt an Ausdrucksmitteln, individuelle Codierstile der Entwerfer, Mehrdeutigkeit, Redundanz, spezifische Eigenschaften der Entwurfswerkzeuge (pragmas,...),... Codierrichtlinien: Erstellung von Codierrichtlinien, Implementation der Codierrichtlinien in/ mit einem Analyse- Werkzeug, Überprüfung der HDL- Beschreibungen. 4

5 Einführung Einordnung der Kodieranalyse in den Entwurfsablauf Spezifikation Analyse Codierrichtlinien = Analyse-Werkzeug VHDL Verilog : IP s Entwurf VHDL Verilog IP s Port clk reset data : Spec. in in out : 5

6 Codierrichtlinien Codierrichtlinien Einschränkung der Entwurfsfreiheit (z.b. für uneingeschränkte Identifikation) Regel (muß... ) / Empfehlungen (sollten... ), sollten klassifizierbar sein (wiederfinden, Archivierung), Richtlinien Sicherung der Flexibilität wiederverwendbarer Module, Sicherung von effizientem HDL-Code (z.b. für Synthese), Allgemein Firmenspezifisch Werkzeug- spezifisch sollten von den Entwerfern akzeptiert werden (kein Overhead, einfach in der Anwendung,...), Archivierung, Auswahl für z.b. Synthese einfache Rechentechnische Umsetzung der Richtlinien, uneingeschränkte Kommunikation zwischen den Entwerfern, Übereinstimmung mit Standards z.b. Strukturierung, Lesbarkeit formalisierbar, veränderbar, erweiterbar, klassifizierbar. 6

7 Codierrichtlinien Anforderungen Codierrichtlinien in der Praxis Typographische Ebene Lexikalische Ebene Syntaktische Ebene Formatierungsvorschriften, Einrückungen, Zeilenumbrüche, Tabulatoren, Zeilenlänge, Codierrichtlinie Beschränkung der Zeilenlänge auf 72 Zeichen (RMM). Groß- und Kleinschreibung, Namenslänge, Kommentare,..., Codierrichtlinie Verwendung von Kleinbuchstaben für Signalnamen, Variablennamen und Namen zur Portbezeichnung (RMM). verbieten von speziellen Sprachkonstruktionen, Verschachtelung und Kombination von Statements,..., Codierrichtlinie Benutzung des VHDL-Statements: clk= 1 and clk event (Synthese). 7

8 Codierrichtlinien Anforderungen Codierrichtlinien in der Praxis (2) Semantische Ebene Ebene des Environments Wertebereichseinschränkungen, Kardinalitätsbeschränkungen, Relationen zwischen Teilen von Beschreibungen,..., Codierrichtlinie Nutzerdefinierte VHDL-Typen müssen auf den IEEE-standardisierten Datentypen basieren". Beispielsweise muß der nutzereigene Datentyp word_type (subtype WORD_TYPE is std_logic_vector (15 downto 0);) auf dem IEEE-Datentyp std_logic_vector aufbauen (RMM). Struktur und Organisation des Dateisystems, spezielle Interpretation des HDL-Codes unter Verwendung von Zusatzinformationen,..., Codierrichtlinie Struktur der Entwurfsdaten, Synthese-Scripte, Simulations-Daten und der Testumgebung im Dateisystem (Firmenspezifisch). 8

9 Analysezugänge Analysezugänge Anforderungen Analysekonzepte verschiedene Analysezugänge möglich, unterschiedliche Vor- und Nachteile, Gemeinsamkeit: einlesen einer HDL-Instanz. Environment Information Richtlinien Verwendung eines verfügbaren Systems: Front-End WZ: - Parser (LVS) - DB (VIF) - API (LPI) Spezial- Sprache (VRSL) Verwendung eines Generator Ansatzes: HDL Grammatik z.b. C/C++ HDL- Code Verwendung von Front-End Werkzeugen: Front-End WZ: - Parser (LVS) - DB (VIF) - API (LPI) Verwenung einer univ. Programmiersprache: z.b. C/C++ z.b. C/C++ Script-Sprache (z.b. TCL/Perl) Resultat 9

10 Compiler-Generator Ansatz Compiler-Generator Ansatz Compiler-Compiler Software Eigenschaften VHDL Grammaktik (IEEE Standard), Verilog Grammatik (IEEE 1364 subset), PD: lex/yacc oder flex/bison; C, C++, Java. Sun WS (SunOS, Solaris) oder/und PC (Linux, Windows), Ansatz ist fexibel und leicht erweiterbar, Änderungen sind leicht möglich, Unterstützung unterschiedlicher HDL-Sprachen (VHDL, Verilog,...), Einbeziehung von Environment-Informationen in die Analyse, Umsetzung von Codierrichtlinien für Simulation, Synthese (subsets) usw. für verschiedene Industriepartner, Klassifikation von Fehlern Visualisierung der Analyseergebnisse, Anbindung unterschiedlicher Unix-Werkzeuge (z.b. XEmacs). 10

11 Compiler-Generator Ansatz Implementation eines Analysesystems 1.) z.b. VHDL Grammatik (IEEE Standard) element_subtype_definition ::= subtype_indication entity_aspect ::= entity entity_name [ ( architecture_identifier) ] configuration configuration_name open entity_class ::= entity architecture configuration procedure function package type subtype constant signal variable component label literal units group file 11

12 Compiler-Generator Ansatz Implementation eines Analysesystems (2) 1.) z.b. VHDL Grammatik (IEEE Standard) element_subtype_definition ::= subtype_indication entity_aspect ::= entity entity_name [ ( architecture_identifier) ] configuration configuration_name open entity_class ::= entity architecture configuration procedure function package type subtype constant signal variable component label literal units group file 2.) direkte Anbindung (einer sehr einfachen Codierrichtlinie im Scanner) ;entity_decl : entity_start entity_decl_1 entity_decl_2 entity_decl_3 entity_decl_4 t_end entity_decl_5 t_semicolon ;entity_start: t_entity {if(++nbrentities >1) puterrorstring("error");} Codierrichtlinie 12

13 Compiler-Generator Ansatz Implementation eines Analysesystems (3) 1.) z.b. VHDL Grammatik (IEEE Standard) element_subtype_definition ::= subtype_indication entity_aspect ::= entity entity_name [ ( architecture_identifier) ] configuration configuration_name open entity_class ::= entity architecture configuration procedure function package type subtype constant signal variable component label literal units group file Record Language Instance Trace (e.g. VHDL, Verilog) Record Scanner Parser Action Character, String Token Grammer Rule/Directive 2.) direkte Anbindung (einer sehr einfachen Codierrichtlinie im Scanner) Lexis Syntax Semantic ;entity_decl : entity_start entity_decl_1 entity_decl_2 entity_decl_3 entity_decl_4 t_end entity_decl_5 t_semicolon Language Instance Scanner Parser ;entity_start: t_entity {if(++nbrentities >1) puterrorstring("error");} Record Token Lexis Grammar Syntax 3.) Adaption der Codierrichtlinien (direkte Anbindung, Interface) Compiler-Compiler Parser-basierende Analyse Interface Action Semantic Rule/Directive Trace Record 13

14 Compiler-Generator Ansatz Implementation eines Analysesystems (4) 1.) z.b. VHDL Grammatik (IEEE Standard) element_subtype_definition ::= subtype_indication entity_aspect ::= entity entity_name [ ( architecture_identifier) ] configuration configuration_name open entity_class ::= entity architecture configuration procedure function package type subtype constant signal variable component label literal units group file 4.) Eine Software zur Visualisierung der Ergebnisse (Java) ließt die erzeugte Fehler-Datei und die HDL- Datei (Darstellung im XEmacs) ein. 2.) direkte Anbindung (einer sehr einfachen Codierrichtlinie im Scanner) ;entity_decl : entity_start entity_decl_1 entity_decl_2 entity_decl_3 entity_decl_4 t_end entity_decl_5 t_semicolon ;entity_start: t_entity {if(++nbrentities >1) puterrorstring("error");} 3.) Adaption der Codierrichtlinien (direkte Anbindung, Interface) - Compiler-Compiler - Parser-basierende Analyse Record Language Instance (e.g. VHDL, Verilog) Trace Record Scanner Parser Action Character, String Token Grammer Rule/Directive Lexis Syntax Semantic 14

15 Zusammenfassung Zusammenfassung Analyse Charakterisierung verschiedener Ansätze für die Analyse von HDL-Code, Einordnung in den Entwurfsablauf, Vorstellung einer flexiblen Methode für die Analyse von HDL-Code, Verwendung von Compiler-Methoden und Prototyp-Implementation eines Analyse-Werkzeuges, Implementation eines ausgewählten Richtlinien-Satzes unterschiedlicher industrieller Partner. Ausblicke Erweiterung der Analyse-Methoden und Ausdehnung dieser Methoden auf weitere Einsatzgebiete im Bereich der Wiederverwendung des Schaltkreis- und Systementwurfs. 15

Fraunhofer Institut. Integrierte Schaltungen. Außenstelle für Automatisierung des Schaltkreis- und Systementwurfs

Fraunhofer Institut. Integrierte Schaltungen. Außenstelle für Automatisierung des Schaltkreis- und Systementwurfs Charakterisierung von Beschreibungsmöglichkeiten zur Erstellung von Codierrichtlinien und Implementation eines Werkzeuges für die Analyse wiederverwendungsgerechter HDL-Beschreibungen Jörg Schneider, Steffen

Mehr

Parsing SystemVerilog 2012

Parsing SystemVerilog 2012 Parsing Julian Nagel Lehrstuhl für Rechnerarchitektur Universität Heidelberg 06.07.2016 1 2 3 Inhaltsverzeichnis 4 5 6 Viele Ideen dazu wie effektiv Hardware entwickelt werden kann, aber keine IDE welche

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Bounded Model Checking mit SystemC

Bounded Model Checking mit SystemC Bounded Model Checking mit SystemC S. Kinder, R. Drechsler, J. Peleska Universität Bremen {kinder,drechsle,jp}@informatik.uni-bremen.de 2 Überblick Motivation Formale Verifikation Äquivalenzvergleich Eigenschaftsprüfung

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

Werkzeugunterstützung für UML Profiles. Verteidigung des Großen Belegs Andreas Pleuß

Werkzeugunterstützung für UML Profiles. Verteidigung des Großen Belegs Andreas Pleuß Werkzeugunterstützung für UML Profiles Verteidigung des Großen Belegs Andreas Pleuß Aufgabenstellung Sammlung der Anforderungen an UML Profiles Untersuchung bestehender UML-CASE-Tool Unterstützung Untersuchung

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Jörg Schneider 1), Annette Reutter 2), Steffen Rülke 1)

Jörg Schneider 1), Annette Reutter 2), Steffen Rülke 1) Charakterisierung von Beschreibungsmöglichkeiten zur Erstellung von Codierrichtlinien und Implementation eines Werkzeuges für die Analyse wiederverwendungsgerechter HDL-Beschreibungen Jörg Schneider 1),

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware

Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware Effiziente Überwachung von Laufzeiteigenschaften in Soft- und Hardware Normann Decker 1 Philip Gottschling 2 1 Institut für Softwaretechnik und Programmiersprachen Universität zu Lübeck decker@isp.uni-luebeck.de

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Compilerbau für die Common Language Run-Time

Compilerbau für die Common Language Run-Time Compilerbau für die Common Language Run-Time Syntax und Semantik von Programmiersprachen 2 Compilerbau Sprachbeschreibung vs. Implementierung Beschreibung: formale oder informale (engl.) Lexik, Syntax,

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Guile Die Erweiterungssprache des GNU-Projekts

Guile Die Erweiterungssprache des GNU-Projekts Guile Die Erweiterungssprache des GNU-Projekts Matthias Köppe Otto-von-Guericke-Universität Magdeburg Magdeburger Linux User Group e. V. 19. Mai 2001 Überblick Guile als Erweiterungssprache Guile als Scheme-Implementierung

Mehr

MDA-Praktikum, Einführung

MDA-Praktikum, Einführung MDA-Praktikum, Einführung Prof. Dr. Peter Thiemann Universität Freiburg 02.11.2005 Was ist MDA? MDA = Model-Driven Architecture Initiative der OMG Object Management Group: CORBA, UML,... offenes Firmenkonsortium

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

MOF Meta Object Facility. Veranstaltungsvortrag im Rahmen der Projektgruppe ComponentTools

MOF Meta Object Facility. Veranstaltungsvortrag im Rahmen der Projektgruppe ComponentTools MOF Meta Object Facility Veranstaltungsvortrag im Rahmen der Projektgruppe ComponentTools Überblick Object Management Group (OMG) Model Driven Architecture (MDA) Exkurs: Modelle, Metamodelle MOF Architektur

Mehr

Digital Design 5 Rechnergestützte Schaltungsentwicklung

Digital Design 5 Rechnergestützte Schaltungsentwicklung 5 Rechnergestützte Schaltungsentwicklung 5.1 Technologische Trends Richard Roth / FB Informatik und Mathematik Rechnergestützte Schaltungsentwicklung 1 Richard Roth / FB Informatik und Mathematik Rechnergestützte

Mehr

Configurable Embedded Systems

Configurable Embedded Systems Configurable Embedded Systems Prof. Dr. Sven-Hendrik Voß Wintersemester 2017 Technische Informatik (Master), Semester 2 Termin 3, 23.10.2017 Seite 2 Zynq Design Flow Configurable Embedded Systems Wintersemester

Mehr

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien Verilog Modellbildung für Synthese und Verifikation von Bernhard Hoppe Oldenbourg Verlag München Wien Inhalt Vorwort des Herausgebers XI 1 Einleitung 1 2 Electronic-Design mit Verflog HDL 5 2.1 Der Design-Zyklus

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Automatische Generierung von Dokumentationen für VHDL-AMS-Modellbibliotheken

Automatische Generierung von Dokumentationen für VHDL-AMS-Modellbibliotheken Treffen der Fachgruppe Simulation Technischer Systeme der Arbeitsgemeinschaft Simulation ASIM am 1. und 2. März 2005 in Berlin Automatische Generierung von Dokumentationen für VHDL-AMS-Modellbibliotheken

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

VHDL-AMS. Anwendungen und industrieller Einsatz von Yannick Herve. Oldenbourg Verlag München Wien

VHDL-AMS. Anwendungen und industrieller Einsatz von Yannick Herve. Oldenbourg Verlag München Wien VHDL-AMS Anwendungen und industrieller Einsatz von Yannick Herve Oldenbourg Verlag München Wien Inhalt Vorwort des Herausgebers der deutschen Ausgabe Begleitwort Vorwort Danksagungen XI XIII XV XVII 1

Mehr

ETL-Industrialisierung mit dem OWB Mapping Generator. Irina Gotlibovych Senior System Beraterin

ETL-Industrialisierung mit dem OWB Mapping Generator. Irina Gotlibovych Senior System Beraterin ETL-Industrialisierung mit dem OWB Mapping Generator Irina Gotlibovych Senior System Beraterin MT AG managing technology Daten und Fakten Als innovativer Beratungs- und IT-Dienstleister zählt die MT AG

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

PROGRAMMIEREN MIT UNIX/LINUX-SYSTEMAUFRUFEN

PROGRAMMIEREN MIT UNIX/LINUX-SYSTEMAUFRUFEN PROGRAMMIEREN MIT UNIX/LINUX-SYSTEMAUFRUFEN Teil 13: UNIX/LINUX: ZUM ABSCHLUSS Bemerkungen zum Abschluss Wir haben in unserer Veranstaltung absolut bei weitem nicht alle Themen im Zusammenhang mit Unix

Mehr

Einführung in die Programmiertechnik

Einführung in die Programmiertechnik Einführung in die Programmiertechnik Formale Beschreibung von Programmiersprachen Lexikalische Regeln Definition von Wörtern (Lexem, Token) Gruppierung von Zeichen Lexikalische Kategorien: Klassen ähnlicher

Mehr

Übersetzerbau Cluj-Napoca, Rumänien 2007

Übersetzerbau Cluj-Napoca, Rumänien 2007 Übersetzerbau Cluj-Napoca, Rumänien 2007 Markus Löberbauer Institut für Systemsoftware Johannes Kepler Universität, 4040 Linz, Österreich Loeberbauer@ssw.jku.at 2007-02-01 Zusammenfassung In dieser Übung

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Thomas Sillmann. Swift im Detail HANSER

Thomas Sillmann. Swift im Detail HANSER Thomas Sillmann Swift im Detail HANSER Inhalt 1 Apples neue Programmiersprache: Swift 1 1.1 Willkommen bei Swift! 1 1.2 Warum Swift? 1 1.3 Swift und Objective-C 2 1.4 Voraussetzungen für die Swift-Entwicklung

Mehr

1.4 Spezifikation. Inhalte einer. Spezifikation

1.4 Spezifikation. Inhalte einer. Spezifikation 1.4 Spezifikation Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangsdiagramme... für die Ampel Task-Flow-Graphen...

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

VHDL - Objekte und Typen

VHDL - Objekte und Typen VHDL - Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Objekte und Typen 1/23 2007-08-24 Inhalt

Mehr

Feature Modelle. und ihre Anwendung. Feature Modelle und ihre Anwendungen. Fachgebiet Softwaretechnik, Heinz Nixdorf Institut, Universität Paderborn

Feature Modelle. und ihre Anwendung. Feature Modelle und ihre Anwendungen. Fachgebiet Softwaretechnik, Heinz Nixdorf Institut, Universität Paderborn Feature Modelle und ihre Anwendung Feature Modelle und ihre Anwendungen 22.07.2010 1 Software-Produktlinien Zusammenfassung mehrerer verwandter Softwaresysteme zu einer Domäne (Anwendungsgebiet) Softwaresysteme

Mehr

Multi-Tool Testlandschaft mit DDS

Multi-Tool Testlandschaft mit DDS Multi-Tool Testlandschaft mit DDS MATLAB UND SIMULINK ALS ENABLER FÜR RAPID TOOL PROTOTYPING SEBASTIAN BEWERSDORFF ASSYSTEM GERMANY MATLAB EXPO 2017 MÜNCHEN 27.06.2017 EINFÜHRUNG Tools in Unternehmensprozessen

Mehr

Oracle9i Designer. Rainer Willems. Page 1. Leitender Systemberater Server Technology Competence Center Frankfurt Oracle Deutschland GmbH

Oracle9i Designer. Rainer Willems. Page 1. Leitender Systemberater Server Technology Competence Center Frankfurt Oracle Deutschland GmbH Oracle9i Designer Rainer Willems Leitender Systemberater Server Technology Competence Center Frankfurt Oracle Deutschland GmbH Page 1 1 Agenda 9i Designer & 9i SCM in 9i DS Design Server Generierung &

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Vortrag beim ASIM-Fachgruppentreffen "Simulation Technischer Systeme am 11. März 2003 in Ulm Hans Gall, BAUSCH-GALL GmbH, München 21.03.2003

Mehr

Paritäts-Bit- Generator

Paritäts-Bit- Generator Projektseminar: Hardware-Beschreibung mit VHDL Seite: 4 2 Aufgaben 2.1 Aufgabe Parity-Generator 2.1.1 Aufgabenstellung Beschreiben sie eine Hardware, welche ein Paritäts-Bit an eine 31-stellige Bitfolge

Mehr

Integrated HW/SW Systems Group IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Integrated HW/SW Systems Group   IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Simulation / VGA Ansteuerung 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 19 November 2009 1 Inhalt Simulation Erstellen einer Testbench Einrichten der Simulation Durchführen

Mehr

2. Programmierung in C

2. Programmierung in C 2. Programmierung in C Inhalt: Überblick über Programmiersprachen, Allgemeines zur Sprache C C: Basisdatentypen, Variablen, Konstanten, Operatoren und Ausdrücke Anweisungen und Kontrollstrukturen (Steuerfluss)

Mehr

Praktikum Softwareanalyse und -transformation

Praktikum Softwareanalyse und -transformation Praktikum Softwareanalyse und -transformation Thilo Mende Universität Bremen Fachbereich 3 Mathematik und Informatik Arbeitsgruppe Softwaretechnik http://www.informatik.uni-bremen/st Sommersemester 2009

Mehr

Stratego/XT und ASF+SDF Meta-Environment. Paul Weder Seminar Transformationen Datum:

Stratego/XT und ASF+SDF Meta-Environment. Paul Weder Seminar Transformationen Datum: Stratego/XT und ASF+SDF Meta-Environment Paul Weder Seminar Transformationen Datum: 20.01.2006 Gliederung Allgemeines ASF+SDF Meta-Environment Stratego/XT Zusammenfassung/Vergleich SDF (Syntax Definition

Mehr

1 Klassen und Objekte

1 Klassen und Objekte 1 Klassen und Objekte Datentyp - Spezifikation des Typs von Datenobjekten Datenstruktur - logische Ordnung von Elementen eines Datentyps - zur (effizienten) Speicherung, Verwaltung, Zugriff - auf die Elemente

Mehr

Implementierung eines LR-Parser-Generators mit syntaktischen Prädikaten

Implementierung eines LR-Parser-Generators mit syntaktischen Prädikaten Implementierung eines LR-Parser-Generators mit syntaktischen Prädikaten Aufgabenbeschreibung 29. Juli 2011 1 Einleitung und Motivation Der Parser-Generator Antlr [Par07] bietet die Möglichkeit, die Auswahl

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

2. Programmierung in C

2. Programmierung in C 2. Programmierung in C Inhalt: Überblick über Programmiersprachen, Allgemeines zur Sprache C C: Basisdatentypen, Variablen, Konstanten, Operatoren und Ausdrücke Anweisungen und Kontrollstrukturen (Steuerfluss)

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Kapitel 4. Einführung in den Scannergenerator Flex. Einführung in den Scannergenerator Flex Wintersemester 2008/09 1 / 9

Kapitel 4. Einführung in den Scannergenerator Flex. Einführung in den Scannergenerator Flex Wintersemester 2008/09 1 / 9 Kapitel 4 Einführung in den Scannergenerator Flex Einführung in den Scannergenerator Flex Wintersemester 2008/09 1 / 9 Generatoren für die lexikalische Analyse Scannergeneratoren werden eingesetzt um die

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

AW2. related work. Benedikt Johannsen INF-M2 Anwendung 2 - Sommersemester Juni 2010

AW2. related work. Benedikt Johannsen INF-M2 Anwendung 2 - Sommersemester Juni 2010 AW2 Ambient Intelligence Networks related work INF-M2 Anwendung 2 - Sommersemester 2010 02. Juni 2010 Gliederung Wiederholung AW1 Vergleichbare Projekte Hydra Middleware Amigo Common Information Model

Mehr

PUPPET 4 SOLARIS Thomas Rübensaal, Thorsten Schlump T-Systems International GmbH

PUPPET 4 SOLARIS Thomas Rübensaal, Thorsten Schlump T-Systems International GmbH PUPPET 4 SOLARIS Thomas Rübensaal, Thorsten Schlump T-Systems International GmbH INHALTSVERZEICHNIS 1. Einleitung 2. Puppet Was ist das? 3. Puppet Historie bei T-Systems 4. T-Systems Solaris 11 Architekturüberblick

Mehr

Interpreter - Gliederung

Interpreter - Gliederung Institut für Informatik Ludwig-Maximilian Universität Interpreter - Gliederung Programmiersprache Syntax Konkrete Syntax Abstrakter Syntax Baum (Abstrakte Syntax) Parser Syntaktische Struktur einer Sprache

Mehr

Ursprünge. Die Syntax von Java. Das Wichtigste in Kürze. Konsequenzen. Weiteres Vorgehen. Rund um Java

Ursprünge. Die Syntax von Java. Das Wichtigste in Kürze. Konsequenzen. Weiteres Vorgehen. Rund um Java Ursprünge Die Syntax von Java Borland Software Corp 1995 Syntax: Pascal Objektorientierte Prorammierung optional Plattformen: Windows (Linux, Mac OS X) Sun Microsystems 1995 Syntax: C/C++ Objektorientiert

Mehr

VHDL Objekte und Typen

VHDL Objekte und Typen VHDL Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Objekte und Typen 1/27 2009-07-31 Inhalt

Mehr

Praktikum. SEP: Java-Programmierung WS 2018/19. Modularisierung. Thomas Lemberger und Martin Spießl

Praktikum. SEP: Java-Programmierung WS 2018/19. Modularisierung. Thomas Lemberger und Martin Spießl Praktikum SEP: Java-Programmierung WS 2018/19 Modularisierung Thomas Lemberger und Martin Spießl Basierend auf Folien von Matthias Dangl und Karlheinz Friedberger 1 / 10 Modularisierung Module gliedern

Mehr

ISim Standardisierung von Flugkörpersimulationen. Vortragender: Florian Peter DGLR, Braunschweig Datum: 30.

ISim Standardisierung von Flugkörpersimulationen. Vortragender: Florian Peter DGLR, Braunschweig Datum: 30. ISim Standardisierung von Flugkörpersimulationen Vortragender: Florian Peter (florian.peter@mbda-systems.de) Ort: DGLR, Braunschweig Datum: 30. Mai 2017 Übersicht 1. Motivation 2. Rolle von ISim im Technologiefeld

Mehr

Digitales Hardwaredesign mit VHDL und FPGAs

Digitales Hardwaredesign mit VHDL und FPGAs TAE Technische Akademie Esslingen Ihr Partner für Weiterbildung In Zusammenarbeit mit dem VDE-Bezirksverein Württemberg e.v. (VDE) Maschinenbau, Fahrzeugtechnik und Tribologie Mechatronik und Automatisierungstechnik

Mehr

22. Januar Gruppe 2: TOPCASED

22. Januar Gruppe 2: TOPCASED 22. Januar 2008 Aufgabenstellung Modellgetriebene Softwareentwicklung auf Basis von am Beispiel eines Seminarverwaltungssystems Ziel Entwicklungsprozess Anforderungen & Codegenerierung Modellierung & Templates

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Arithmetik VHDL - Funktionen

Mehr

COPE COuPled Evolution of metamodels and models

COPE COuPled Evolution of metamodels and models COPE COuPled Evolution of metamodels and models Diplomarbeit in Zusammenarbeit mit der BMW Car IT (Betreuer: Elmar Jürgens, Sebastian Benz) Markus Herrmannsdörfer 7. November 2007 Perlen der Informatik

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Programmierpraktikum

Programmierpraktikum TECHNISCHE UNIVERSITÄT MÜNCHEN FAKULTÄT FÜR INFORMATIK Praktikum: Grundlagen der Programmierung Programmierpraktikum Woche 04 (17.11.2016) Stefan Berktold s.berktold@tum.de PRÄSENZAUFGABEN Heutige Übersicht

Mehr

Grundlagen wissenschaftlichen Arbeitens (ws /05)

Grundlagen wissenschaftlichen Arbeitens (ws /05) Grundlagen wissenschaftlichen Arbeitens (ws.. 2004/05) Thema: The programming language PASCAL Autor: Ratko Trajanovski 1 kurzfassung Zur Sprache PASCAL Pascal in der Ausbildung Lexikalische Elemente Programmaufbau

Mehr

ALGOL 68 im Aspekt einer modernen Programmiersprache???

ALGOL 68 im Aspekt einer modernen Programmiersprache??? ALGOL 68 im Aspekt einer modernen Programmiersprache??? General-purpose-Programmiersprache: Ein sehr wichtiges Kriterium ist die Möglichkeit, alle Algorithmen (=Lösungsverfahren) in einer Programmiersprache

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung

Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung Zusicherungen und Laufzeit Überwachungen in der modellbasierten Software Entwicklung Methoden Design Integration STZ Softwaretechnik Andreas Rau STZ Softwaretechnik Im Gaugenmaier 20 73730 Esslingen Email:

Mehr

Microsoft Azure Deutschland ist jetzt verfügbar -

Microsoft Azure Deutschland ist jetzt verfügbar - Einordnung und Überblick Data Scientist Operationalisierung IT-Abteilung Anwendungsentwickler Der Data Scientist agil Tool seiner Wahl möglichst wenig Zeit Skalierung Code für die Operationalisierung Der

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr

Methoden zur Interpretation LISPähnlicher. Programmiersprachen. Seminarvortrag / 53 FH AACHEN FACHBEREICH 9 TOBIAS STUMM MATR.-NR.

Methoden zur Interpretation LISPähnlicher. Programmiersprachen. Seminarvortrag / 53 FH AACHEN FACHBEREICH 9 TOBIAS STUMM MATR.-NR. Methoden zur Interpretation LISPähnlicher Programmiersprachen Seminarvortrag 20.01.2017 FACHBEREICH 9 TOBIAS STUMM MATR.-NR. 4012917 1 Inhalt Motivation Lisp > Definition > Scheme Interpreter > Definition

Mehr

IHS2 Seminar. Simulation. Steffen Ostendorff

IHS2 Seminar. Simulation. Steffen Ostendorff Simulation Steffen Ostendorff BlockM, R602, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 06 December 2010 Self-Organization 08 December 2010 1 Inhalt des Seminars

Mehr

Projektgruppe. Thomas Kühne. Komponentenbasiertes Software Engineering mit OSGi

Projektgruppe. Thomas Kühne. Komponentenbasiertes Software Engineering mit OSGi Projektgruppe Thomas Kühne Komponentenbasiertes Software Engineering mit OSGi Anforderungen der PG IDSE an ein Komponenten- Client Nativer Client Web Client Alternativen IDSE Nutzer Szenario Pipe IDSE

Mehr

tdaten=record name : string; alter: integer; groesse:real; end;

tdaten=record name : string; alter: integer; groesse:real; end; tdaten=record - Zugriff auf Felder (name, alter, groesse) über externe Methoden, die für jede Unit und jede Variable dieses Records neu geschrieben werden müssen - Zugriff nicht kontrollierbar (immer Schreib-

Mehr

Beuth Hochschule Einführende Gentle-Programme WS12/13, S. 1

Beuth Hochschule Einführende Gentle-Programme WS12/13, S. 1 Beuth Hochschule Einführende Gentle-Programme WS12/13, S. 1 Einführende Gentle-Programme Dieses Papier soll die Syntax und Semantik (die Schreibweise und Bedeutung) von einfachen Gentle Programmen anhand

Mehr

EIDI 1 Einführung in die Informatik 1. PGdP Praktikum Grundlagen der Programmierung. Harald Räcke 2/217

EIDI 1 Einführung in die Informatik 1. PGdP Praktikum Grundlagen der Programmierung. Harald Räcke 2/217 EIDI 1 Einführung in die Informatik 1 PGdP Praktikum Grundlagen der Programmierung Harald Räcke 2/217 Wie löst man Probleme mithilfe von Computern? 0 Harald Räcke 3/217 Inhalte: EIDI 1 1. Was ist das Problem?

Mehr

HW vs. SW ein erster Vergleich

HW vs. SW ein erster Vergleich HW vs. SW ein erster Vergleich 182.700 - HW/SW-Codesign Daniel Haslauer Vortrag 18.10.2016 Florian Wagner Mitschrift 1 Definition - Was ist Hard- und Software? Eine wirklich reine Hardware- und eine reine

Mehr

Open-Source Kommunikationslösung Datenaustausch zwischen PC und ethernetfähiger S7-Steuerung

Open-Source Kommunikationslösung Datenaustausch zwischen PC und ethernetfähiger S7-Steuerung Open-Source Kommunikationslösung Datenaustausch zwischen PC und ethernetfähiger S7-Steuerung Siemens Automatisierungskreis Markus Friedrich, Sebastian Kain, Martin Merz, Thomas Fiala 30.04.2008 Informationstechnik

Mehr

Instrumentation von Android Anwendungen mit ExplorViz

Instrumentation von Android Anwendungen mit ExplorViz Instrumentation von Android Anwendungen mit ExplorViz Jan Witzany 28. September 2016 Jan Witzany Instrumentation von Android Anwendungen mit ExplorViz 28. September 2016 1 / 19 Gliederung 1. Motivation

Mehr

Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++, 2. Teil

Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++, 2. Teil MÜNSTER Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++ 2. Teil 18. April 2012 Organisatorisches MÜNSTER Übung zur Vorlesung Wissenschaftliches

Mehr

Software-Engineering im Sommersemester 2014

Software-Engineering im Sommersemester 2014 Methodische Grundlagen des Software-Engineering SS 2014 Vorlesung Methodische Grundlagen des Software-Engineering im Sommersemester 2014 Prof. Dr. Jan Jürjens TU Dortmund, Fakultät Informatik, Lehrstuhl

Mehr

ADDISON-WESLEY PUBLISHING COMPANY

ADDISON-WESLEY PUBLISHING COMPANY Jens-Peter Redlich CORBA 2.0 Praktische Einführung für C++ und Java Mit einem Geleitwort von Richard Mark Soley ADDISON-WESLEY PUBLISHING COMPANY Bonn Reading, Massachusetts Menlo Park, California New

Mehr