Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Größe: px
Ab Seite anzeigen:

Download "Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl"

Transkript

1 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal 7. Mai 2015

2 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20152/26 1. Simulation mit ghdl Simulation mit ghdl

3 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20153/26 1. Simulation mit ghdl 1. Debug-Ausgaben Debug-Ausgaben

4 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20154/26 1. Simulation mit ghdl 1. Debug-Ausgaben Textausgabe mit ghdl VHDL-Programme lassen sich wie C-Programme mit formatierten Ausgaben testen. Erfordert Package mit Ausgabefunktionen. In Tuc.Ausgabe sind deniert: procedure write(s: STRING); // Ausgabe Zeichenkette function str(x: <typ>; [<formatangabe>]); str() ist für alle behandelten Datentypen überladen. Programmrahmen unter Nutzung von Tuc.Ausgabe: library Tuc; use Tuc.Ausgabe.all; entity ausgabetest is end entity; architecture a of ausgabetest is begin hier steht der zu simulierende Prozess end architecture;

5 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20155/26 1. Simulation mit ghdl 1. Debug-Ausgaben process variable a: integer := 25; variable b: bit; begin wait for 10 ps; write("t=" & str(now) & " a=" & str(a) & " b=" & str(b)); wait for 10 ns; a := 56; b := '1'; write("t=" & str(now) & " a=" & str(a) & " b=" & str(b)); wait; end process; Anmerkungen: now aktuelle Simulationszeit vom Typ time & Konkatenationsoperator zum Verketten von Textbausteinen Ausgabe: t=10.00 ps a=25 b=0 t=10.01 ns a=56 b=1

6 1. Simulation mit ghdl 1. Debug-Ausgaben Ausprobieren zip-archiv mit den Dateien zu Übung von der Web-Seite laden. Entpacken. Erzeugen der Bibliothek Tuc mit dem Package Ausgabe im Verzeichnis Tuc auf der Kommandozeile: ghdl -a --work=tuc Ausgabe.vhdl Analysieren des VHDL-Programms im Verzeichnis mit ausgabetest.vhdl: ghdl -a -PTuc 1 ausgabetest.vhdl 2 Übersetzen in ein ausfühbares Programm (Make): ghdl -m -PTuc ausgabetest 3 Ausführen (Run) ghdl -r -PTuc ausgabetest 1 Pfad zur Bibliothek tuc-obj93.cf. 2 Datei mit der VHDL-Quelle. 3 Entity-Name Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20156/26

7 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20157/26 1. Simulation mit ghdl 2. Beobachterprozess Beobachterprozess

8 1. Simulation mit ghdl 2. Beobachterprozess Beobachterprozess Für eine Schaltung mit Eingabe x und Ausgabe y sollen für jeden Änderungszeitpunkt die Werte aufgelistet werden: Beobachter: process(x, y) begin if now = 0 ps then write(lf & " Zeit x y "); else write(rechts(str(now), 9) & " " & str(x) & " " & str(y) & " "); end if; end process; Prozesse mit Weckliste, Aufruf bei now=0 ps und jeder Änderung von x oder y. bei now=0 ps wird Tabellenkopf geschrieben rechts() verlängert den Ausgabetext um führende Leerzeichen. LF Line feed. Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20158/26

9 1. Simulation mit ghdl 2. Beobachterprozess Simulation mit Beobachterprozess... library-, use- und entity-anweisungen architecture a of beobpro is signal x: std_logic_vector(3 downto 0); signal y: std_logic_vector(1 downto 0); begin Eingabeprozess: process begin wait for 10 ns; x <= "0101"; wait for 5 ns; x <= "1101"; wait; end process; -- Testobjekt als 2 nebenlaeufige Signalzuweisungen y(0) <= 'X' after 1.2 ns, x(0) and x(1) after 2.4 ns; y(1) <= 'X' after 1.1 ns, x(2) and x(3) after 3.1 ns; Beobachter:... end architecture; Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20159/26

10 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 2. Beobachterprozess Ausprobieren Die Bibliothek Tuc sei bereits erzeugt. Im Verzeichnis mit der VHDL-Datei: ghdl -a -PTuc BeobProzess.vhdl ghdl -m -PTuc beobpro ghdl -r -PTuc beobpro Ausgabe: # Analysieren # Make # Ausführen Zeit x y 5.20 ns 0101 XX 1.10 ns UUUU XU 6.40 ns 0101 X ns UUUU XX 7.10 ns ns UUUU XU 7.50 ns ns UUUU UU 8.60 ns 1101 X ns 0101 UU ns ns 0101 XU

11 1. Simulation mit ghdl 2. Beobachterprozess Der Eingabeprozess... wait for 4 ns; x <= "0101"; wait for 3.5 ns;x <= "1101";... wartet zweimal und weist zweimal neue Werte zu. Die nebenläugen Signalzuweisungen y(0) <= 'X' after 1.2 ns, x(0) and x(1) after 2.4 ns; y(1) <= 'X' after 1.1 ns, x(2) and x(3) after 3.1 ns; weisen nach jeder Änderung von ihren Eingaben nach der Haltezeit unbestimmt und nach der Verzögerungszeit den neuen Wert zu. Nochmal die Ausgabe: Zeit x y 5.20 ns 0101 XX 1.10 ns UUUU XU 6.40 ns 0101 X ns UUUU XX 7.10 ns ns UUUU XU 7.50 ns ns UUUU UU 8.60 ns 1101 X ns 0101 UU ns ns 0101 XU Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26

12 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 2. Beobachterprozess Graphische Simulationsausgabe Ausgabe der Simulationsergebnisse in eine Wave-Datei: ghdl -r -PTuc beobpro --wave=beobpro.ghw # Ausführen gtkwave beobpro.ghw beobpro.sav # Viewer starten

13 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 2. Beobachterprozess Falls das Save-File im Beispiel beobpro.sav noch nicht existiert 4 : im SST-Fenster Hierarchie aufklappen, Signale auswählen 5 Die Busse in der Signals-Spalte auswählen und mit Edit > Expand Busse aufklappen. Mit Time > Zoom >... darzustellendes Zeitfenster auswählen. Mit Edit > Data Format > Binary Datenformat auswählen,... Wenn die Darstellung stimmt, Darstellungseinstellungen mit File > Write Save File speichern. 4 Zum ausprobieren gtkwave schlieÿen und mit nicht existierender Save-Datei aufrufen, z.b. gtkwave beobpro.ghw test.sav. 5 Oben auswählen, im Fenster Signals nochmal auswählen und unter Append drücken.

14 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 3. Fehlersuche Fehlersuche

15 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 3. Fehlersuche Beschreibung mit Entwurfsfehler signal a, b, c: STD_LOGIC;... process begin c <= a and b after 2 ns; wait on a, b; end process; a b c c t sim (c 1 ohne, c 2 mit Fehler) Bestimmen Sie den Ausgabesignalverlauf des korrekten Simulationsmodells die Ausgabe wenn in der Warteanweisung das Signal b fehlt. Was passiert, wenn die gesamte Warteanweisung fehlt? Warum ist der Fehler schwer zu lokalisieren?

16 6 Die eigentlich überüssige Änderung nach 20 ns verlängert die Wave-Darstellung bis 20 ns. Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 3. Fehlersuche Kontrolle mit dem Simulator library ieee; -- es wird nur std_logic use ieee.std_logic_1164.all; -- gebraucht entity vglsim is end entity; -- Entity ohne Signale architecture a of vglsim is signal a, b, c1, c2: STD_LOGIC; begin -- Eingabe als nebenläufige Signalzuweisungen a <= '0', '1' after 3 ns, '0' after 7.5 ns, '1' after 10.5 ns; b <= '1', '0' after 5.5 ns, '1' after 9 ns, '0' after 15.5 ns, '0' after 20 ns 6 ;

17 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 3. Fehlersuche -- richtige Beschreibung: process begin c1 <= a and b after 2 ns; wait on a, b; end process; -- fehlerhafte Beschreibung: process begin c2 <= a and b after 2 ns; wait on a; -- hier fehlt wait on b end process; end architecture;

18 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 3. Fehlersuche Ausführung und Ergebnis del work-obj93.cf # Arbeitsbibliothek löschen ghdl -a vglsim.vhdl # analysieren ghdl -m vglsim # Make ghdl -r vglsim --wave=vglsim.ghw # ausführen gtkwave vglsim.ghw vglsim.sav # anzeigen

19 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 4. Getaktete Schaltung Getaktete Schaltung

20 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 4. Getaktete Schaltung Rückgekoppeltes Schieberegister (LFSR 7 ) I T 1 Beschreiben Sie das nachfolgende rückgekoppelte Schieberegister in VHDL mit Schnittstelle und nur einem Prozess. 2 Bestimmen Sie die Ausgabesignalverläufe für y 0 bis y 2. 3 Schreiben Sie einen Testrahmen mit dem rückgekoppelten Schieberegister als Testobjekt und einem Prozess, der die Eingabesignale rechts erzeugt. =1 I I I 7 LFSR linear feedback shift register. Takt: I T y 0 y 1 y 2 y y y

21 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 4. Getaktete Schaltung LFSR-Beschreibungsrahmen library ieee; use ieee.std_logic_1164.all; entity lfsr is port ( T, I: in std_logic; y: out std_logic_vector(2 downto 0) ); end entity; architecture a of lfsr is signal s: std_logic_vector(2 downto 0); begin Prozess zur Beschreibung der Übergangsfunktion y <= s; end architecture;

22 rof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 4. Getaktete Schaltung Prozess zur Beschreibung der Übergangsfunktion =1 I I I I T process(t) begin if I='1' then -- wenn I aktiv s <= "000"; -- initialisieren elsif rising_edge(t) then -- sonst bei stei- -- gender Taltflanke s(2 downto 1) <= s(1 downto 0); -- Schiebefunktion s(0) <= not(s(1) xor s(2)); -- Rückkopplung end if; end process; y 0 y 1 y 2

23 1. Simulation mit ghdl 4. Getaktete Schaltung Testrahmen library Tuc; use Tuc.Ausgabe.all; library ieee; use ieee.std_logic_1164.all; entity lfsr_test is end entity; architecture a of lfsr_test is signal T, I: std_logic; signal y: std_logic_vector(2 downto 0); begin -- Instanz des Testobjekts TObj: entity work.lfsr(a) port map(i=>i, T=>T, y=>y); -- Eingabeprozess... end architecture; Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26

24 Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 1. Simulation mit ghdl 4. Getaktete Schaltung Eingabeprozess Takt: I 1 0 T process begin I <= '0', '1' after 11 ns, '0' after 18 ns; write("takt Ausgabe"); for idx in 1 to 10 loop T <= '1' after 3 ns, '0' after 8 ns; wait for 10 ns; write(rechts(str(idx),3) & " " & str(y)); end loop; wait; end process; t in ns

25 1. Simulation mit ghdl 4. Getaktete Schaltung Simulation ghdl -a lfsr.vhdl # Testobjekt analysieren ghdl -a -PTuc lfsr_test.vhdl # Testrahmen analysieren ghdl -m -PTuc lfsr_test # Make ghdl -r -PTuc lfsr_test --wave=lfsr_test.ghw # ausführen gtkwave lfsr_test.ghw lfsr_test.sav # anzeigen Ausgabe: Takt Ausgabe 1 UUU Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26

26 1. Simulation mit ghdl 4. Getaktete Schaltung Aufgaben Erweitern Sie den Testrahmen um die Erzeugung des dargestellten Signalverlaufs für das Eingabesignal x und das LFSR um den Eingang x. x I T Taktnr.: I 1 0 T =1 x =1 t in ns I I I Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai /26 y 0 y 1 y 2

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs

Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal23. März 2018 1/33 Entwurf digitaler Schaltungen Groÿe Übung 2 VHDL und FPGAs Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Entwurf digitaler Schaltungen (F2)

Entwurf digitaler Schaltungen (F2) Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 7. Juni 24 /245 Entwurf digitaler Schaltungen (F2) Handwerkszeug Teil Prof. G. Kemnitz Institut für Informatik, Technische Universität

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Aufgabe 3: PS/2-Tastatur

Aufgabe 3: PS/2-Tastatur Aufgabe 3: PS/2-Tastatur G. Kemnitz, C. Giesemann, TU Clausthal, Institut für Informatik 13. Dezember 2017 Zusammenfassung Schrittweiser Enwurf eines PS/2-Controllers zur Tastaturansteuerung. Gegeben sind

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

Ausarbeitung zum ETI Praktikum

Ausarbeitung zum ETI Praktikum Ausarbeitung zum ETI Praktikum Aufgabe 3.1 (VHDL) eingereicht an der Technischen Universität München Lehrstuhl X: Rechnertechnik und Rechnerorganisation Prof. A. Bode SS 2006 Betreuer: Dipl.-Inf. Daniel

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen:

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Unterprogramme Dr. Wolfgang Günther Unterprogramme 2 Unterprogramme Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Prozeduren

Mehr

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli)

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli) Kapitelverzeichnis (Buch Künzli). Begriffe und efinitionen. Kombinatorische Logik und Schaltalgebra. Speicherbausteine (Flip-Flops). Zähler 5. Register und Schieberegister 6. Automaten. Programmierbare

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung MIN Department Informatik VHDL-Einführung 1 MIN Department Informatik VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuit Entwicklung 1983 vom DoD initiiert 1987 IEEE Standard Überarbeitungen

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Informatik für Schüler, Foliensatz 18 Rekursion

Informatik für Schüler, Foliensatz 18 Rekursion Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 26. März 2009 1/10 Informatik für Schüler, Foliensatz 18 Rekursion Prof. G. Kemnitz Institut für Informatik, Technische Universität

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 13. Übung Grundlagen der Technischen Informatik 13. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 13. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Automaten VHDL VHDL VHDL 13.

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Dateneingabe und Transformation Übersicht

Dateneingabe und Transformation Übersicht Dateneingabe und Transformation Übersicht 2.0 Allgemeine 2.1 Eingabe über die 2.2 2.3 Eingabe eines externen ASCII-Files 2.4 Varianten der INPUT-Anweisung, Formatierungselemente 2.5 Ein- und Ausgabe von

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Entwurf digitaler Schaltungen (F5) VHDL im Detail

Entwurf digitaler Schaltungen (F5) VHDL im Detail Prof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 6. März 2012 1/135 Entwurf digitaler Schaltungen (F5) Kapitel 3, Teil 2 VHDL im Detail Abschnitt 3.1 Imperative Beschreibungsmittel

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Paritäts-Bit- Generator

Paritäts-Bit- Generator Projektseminar: Hardware-Beschreibung mit VHDL Seite: 4 2 Aufgaben 2.1 Aufgabe Parity-Generator 2.1.1 Aufgabenstellung Beschreiben sie eine Hardware, welche ein Paritäts-Bit an eine 31-stellige Bitfolge

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

ModelSim Xilinx Edition

ModelSim Xilinx Edition ModelSim Xilinx Edition Die Firma Xilinx Inc stellt eine kostenfreie, zeitlich unbegrenzte Evaluierungsversion des Simulations- und Synthesewerkzeugs ModelSim Xilinx Edition zur Verfügung, für die Sie

Mehr

Prozessorarchitektur. Kapitel 2: Einführung in VHDL. M. Schölzel

Prozessorarchitektur. Kapitel 2: Einführung in VHDL. M. Schölzel Prozessorarchitektur Kapitel 2: Einführung in VHDL M. Schölzel Inhalt Beschreibung von Bausteinen in VHDL Simulationssemantik Synthesefähige Beschreibungen Kombinatorische Logik Sequentielle Logik Zusammenfassung

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Rechnerarchitektur, Einführung in die Laborübungen

Rechnerarchitektur, Einführung in die Laborübungen G. Kemnitz Institut für Informatik, TU Clausthal (RA-LabEinf.pdf) 20. Dezember 2017 1/18 Rechnerarchitektur, Einführung in die Laborübungen G. Kemnitz Institut für Informatik, TU Clausthal (RA-LabEinf.pdf)

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

VHDL - Objekte und Typen

VHDL - Objekte und Typen VHDL - Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Objekte und Typen 1/23 2007-08-24 Inhalt

Mehr

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD)

Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) Field Programmable Gate Array (FPGA) Complex Programmable Logic Devices (CPLD) 1 FPGA Design Flow 2 1. Einleitung 2. Grundlegende Strukturelemente 3. Syntax 4. Synthesefähiger VHDL-Code 5. Zusammenfassung

Mehr

HARDWARE- BESCHREIBUNGSSPRACHEN Hardwareentwurf mit VHDL

HARDWARE- BESCHREIBUNGSSPRACHEN Hardwareentwurf mit VHDL Z Y X W V U T S R Q P O N M L K J I H G F E D C B A 9 8 7 6 5 4 2 1 0 C 4 T S P V 6 O 0 E K J 5 Z 2 I 1 H R D 8 Q G Y 9 U F M A 7 N W B L X HARDWARE- BESCHREIBUNGSSPRACHEN Hardwareentwurf mit VHDL 18.

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr