Computergestützter IC- Entwurf

Größe: px
Ab Seite anzeigen:

Download "Computergestützter IC- Entwurf"

Transkript

1 FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: ,

2 Inhaltsverzeichnis 1 Einleitung Versuchsdurchführung Spezifikation der Fahrstuhlsteurung Zuweisung der Signale ON_OFF LS_TB K_MAX T_AUF T_ZU CLK RUF POSI ANZEIGE F_MOTOR T_MOTOR Realisation fahrstuhl.vhd stimulus.vhd Simulation Auswertung Anhang Datei fahrstuhl.vhd Datei stimulus.vhd

3 1 Einleitung Zur Entwicklung spezieller Schaltkreise wird vermehrt auf sogenannte Hardware- Beschreibungssprachen gesetzt. Dazu zählt auch VHDL. In diesem Beleg wird die Steuerung eines Fahrstuhls simuliert. 2 Versuchsdurchführung 2.1 Spezifikation der Fahrstuhlsteurung Der zu entwickelnden Fahrstuhlsteuerung wurden folgende Parameter mitgegeben - 4 Etagen - Tür Auf/Zu - Kontakt - Lichtschranke Tür - Steuern Türmotor, Fahrstuhlmotor - Etagentaster im Fahrstuhl und auf den Etagen - Ein/Aus-Schalter - Anzeige Fahrstuhl fährt / gerufen - Kontakt für Überlastung - (Anzeige Etage) - (Türblockierkontakt) Zuweisung der Signale In der folgenden Tabelle sind alle Signale zur Peripherie hin kurz beschrieben. Signal Art Bedeutung ON_OFF in bit EIN/AUS LS_TB in bit Lichtschranke, Türblockierkontakt K_MAX in bit Überlastkontakt T_AUF in bit Tür ist AUF T_ZU in bit Tür ist ZU CLK in bit Takt RUF in bit_vector (3) Rufen des Fahrstuhls POSI in bit_vector (3) Position des Fahrstuhls ANZEIGE out bit_vector (3) Anzeige der rufenden Etagen F_MOTOR out bit_vector (1) Ansteuerung des Fahrstuhlmotors T_MOTOR out bit_vector (1) Ansteuerung des Türmotors ON_OFF Ist der 'Hauptschalter'. Nur wenn er eingeschaltet (ON_OFF = '1') ist, werden die Motoren angesteuert. 3

4 LS_TB Ist ein Sicherheitseingang gegen Einklemmen in der schließenden Tür. Da Lichtschranke und Türblockierkontakt die selbe Funktion hervorrufen, werden sie als nur ein Eingang ausgeführt. Fährt die Tür ZU, hat die Endlage AUF verlassen und wird dieser Eingang auf '1' gesetzt, fährt die Tür wieder AUF K_MAX Ist ein Sicherheitseingang gegen Überlast. Fährt die Tür ZU, hat die Endlage AUF verlassen und wird dieser Eingang auf '1' gesetzt, fährt die Tür wieder AUF. Hat also die selbe Funktion wie LS_TB T_AUF Ist die Endlage für die AUF-Fahrt des Türmotors. Wird sie erreicht ('1') stoppt er T_ZU Ist die Endlage für die ZU-Fahrt des Türmotors. Wird sie erreicht ('1') stoppt er. Dieser Kontakt ist auch die Freigabe für die Fahrt des Fahrstuhlmotors CLK Ist für die Taktung der Steuerung. Ist zur Übernahme und Verarbeitung der Signal notwendig RUF Stellt die Rufen- Knöpfe mit der denen der Fahrstuhl gerufen wird, dar. Da es egal ist, ob der Fahrstuhl intern "geschickt" oder von extern "gerufen" wird, ist dieser Eingang auch nur einmal notwendig POSI Gibt der Steuerung die Position des Fahrstuhls. Sie ist als 4Bit-Vektor organisiert, von dem immer nur ein Bit aktiv ist ANZEIGE Zeigt die Etagen an, zu denen der Fahrstuhl noch muß, also die Rückmeldung zum Ruf. Ist als 4Bit_Vektor organisiert, wobei jedes Bit für eine Etage steht. Es wird gesetzt durch RUF gesetzt und zurückgesetzt, wenn der Fahrstuhl in der Etage gehalten und die Tür AUF hat. 4

5 F_MOTOR Ist der Ausgang für die Ansteuerung des Fahrstuhlmotors in Form eines 2Bit-Vektors. Der Vektor ist wie folgt kodiert: - "00" STOP - "01" RUNTER - "10" HOCH - "11" STOP, allerdings nicht benutzt T_MOTOR Ist der Ausgang für die Ansteuerung des Türmotors in Form eines 2Bit-Vektors. Der Vektor ist wie folgt kodiert: - "00" STOP - "01" ZU - "10" AUF - "11" STOP, allerdings nicht benutzt. 2.2 Realisation Die Aufgabe wurde in VHDL realisiert. Zur Kompilierung und Simulation wurde die "PeakFPGA Designer Suite Trial Edition" verwendet. Das Projekt ist in zwei Dateien geteilt: - fahrstuhl.vhd: die eigentliche Steuerung - stimulus.vhd: Testbench für die fahrstuhl.vhd fahrstuhl.vhd Das Projekt wurde zur logischen Gliederung in mehere Prozesse aufgeteilt - TMOT: Steurung des Türmotors - FMOT: Steurung des Fahrstuhlmotors - FRUF: beinhaltet einen großen Teil der Steuerung. - setzen und löschen der rufenden Etagen - HOCH/ RUNTER/STOP zu Ansteuerung der Fahrstuhlmotorsteurung FMOT - öffnen lassen der Fahrstuhltür - T_AUF_ZU: schließen lassen der Fahrstuhltür (öffnen war auch mal hier) stimulus.vhd Da das verwendete Programm keinen komfortablen Simulator, mußte ein Testbench erstellt werden. Dieser ist auch in verschiedene Prozesse aufgeteilt - TAKT: erstellt einen Takt für die Prozesse - RUFEN: simuliert das Rufen des Fahrstuhls in die Etagen - BETRIEB: schalten die Motoren frei. - T_KONTAKTE: simuliert das Schalten der Endlagen für die Tür. - ETAGE: simuliert die aktuelle Position des Fahrstuhls - SCHUTZ: simuliert das Ansprechen der Schutzkontakte. 5

6 2.3 Simulation Zur Simulation mußte ein Testbench erstellt werden. Wichtig dabei war die Einhaltung der logischen Reihenfolge der Eingangssignale. So mußte z.b. darauf geachtet werden, daß beim Öffnen der Tür sich zuerst die ZU-Endlage löst und dann die AUF-Endlage anspricht. Auch war darauf zu achten, das der Fahrstuhl keine Etage überspringt. In Abbildung 1 ist die Simulation mittels Testbench zu erkennen. Abb. 1 Testbench der Simulation Der Fahrstuhl wird von mehren Etagen gerufen und fährt diese an. Dabei setzt er sich erst in Bewegung, nach dem Tür ZU gemeldet wird. Kommt der Fahrstuhl in einer rufenden Etage an, stoppt er und öffnet die Tür. Ist die Tür geöffnet, wir der Ruf der Etage zurückgesetzt. Anschließend wir die nächste rufende Etage aungefahren. Ist der Fahrstuhl in einer Etage und wird nicht gerufen, bleibt die Tür auf. Liegt allerdings ein Ruf an schließt die Tür nach einer Zeitspanne (hier 50ns). Spricht während des Schließens ein Sicherheitskontakt an, öffnet sich die Tür wieder. Nach Ablauf der Zeitspanne wird ein weiters Mal versucht, die Tür zu schließen. Sieht man sich alle Signal (auch die internen) an, ist gut zu erkennen, daß einige darauf warten ausgeführt zu werden. Das passiert allerdings erst wenn z.b. ein Türkontakt geschaltet wird. Sollte die Simulation erweitert werden ist darauf zu achten, daß die sinnvolle Reihenfolge des Signalablaufs eingehalten werden muß. 3 Auswertung Es zeigte sich, daß durch die Hardwarebeschreibungssprache relativ wenig Aufwand zur Entwickliung spezieller Funktionaltäten nötig sein kann. Auch kann durch das Zusammenfassen spezieller Aufgaben in einem Chip erheblich zur Vereinfachung von Platinen-Layouts beitragen. Bei der Entwicklung ist allerdings darauf zu achten, daß nicht alle Programme alle Bilbliotheken beinhalten. Sowar es mit dem zunächst verwendeten "ORCAD Express" nicht möglich, Vektor-Vergleichsoperationen auszuführen. Eine Synthese wurde nicht erstellt, da nicht bekannt war, auf welchem Chip die Steuerung implementiert werden soll. 6

7 4 Anhang 4.1 Datei fahrstuhl.vhd -- Fahrstuhl Autor: -- Datum: Version: 2.01a -- Compiled: PeakFPGA Designer Suite Trial Edition LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- für Vektor-Vergleich -- für Vektor-Vergleich entity FAHRSTUHL is port (CLK,LS_TB,T_ZU,T_AUF,K_MAX,ON_OFF: in bit; ANZEIGE: out bit_vector (3 downto 0); RUF, POSI: in bit_vector (3 downto 0); -- ANZEIGE: out bit_vector (3 downto 0); T_MOTOR, F_MOTOR: out bit_vector (1 downto 0)); -- F_MOTOR: out bit_vector (1 downto 0)); end FAHRSTUHL; architecture STEUERN of FAHRSTUHL is signal MACH_AUF, MACH_ZU, LOS_HOCH, LOS_RUNTER: bit; signal TMOT_TEMP, FMOT_TEMP: bit_vector (1 downto 0); signal RUF_TEMP: bit_vector (3 downto 0); -- Befehle für Tür-Steuerungen TMOT:process(K_MAX, LS_TB,ON_OFF,T_AUF,T_ZU, MACH_AUF, MACH_ZU,CLK) --Tür-Motor if ON_OFF = '1' then if (MACH_AUF = '1' or LS_TB='1'or K_MAX = '1') and T_AUF='0' and FMOT_TEMP = "00" then TMOT_TEMP <= "10"; --Tür auf elsif MACH_ZU = '1' and T_ZU='0' and LS_TB = '0' then TMOT_TEMP <= "01"; --Tür zu elsif (TMOT_TEMP = "10" and T_AUF='1') or (TMOT_TEMP = "01" and T_ZU='1') then TMOT_TEMP <= "00"; -- tür stoppt end process TMOT; T_MOTOR <= TMOT_TEMP; FMOT: process (ON_OFF,LOS_HOCH,LOS_RUNTER,CLK) drin if ON_OFF = '1' and T_ZU ='1' then if LOS_RUNTER = '1' then FMOT_TEMP <= "01"; -- fährt runter elsif LOS_HOCH = '1' then FMOT_TEMP <= "10"; -- fährt hoch else FMOT_TEMP <= "00"; -- stoppt else FMOT_TEMP <= "00"; -- stoppt end process FMOT; F_MOTOR <= FMOT_TEMP; -- Fahrstuhl-Motor, T_ZU absichtlich nicht FRUF: process (RUF, POSI, T_AUF, CLK) if RUF(0)'event and RUF(0) = '1' then RUF_TEMP(0) <= '1'; elsif RUF_TEMP(0) = POSI(0) then if RUF_TEMP(0) = '1' then MACH_AUF <= '1'; if T_AUF = '1' then RUF_TEMP(0) <= '0'; MACH_AUF <= '0'; elsif RUF_TEMP < POSI and RUF_TEMP /= "0000" then LOS_RUNTER <= '1'; elsif RUF_TEMP > POSI then LOS_HOCH <= '1'; if RUF(1)'event and RUF(1) = '1' then -- Ruf des Fahrstuhls -- Ruf Etage 1 merken -- wenn Etage stimmt -- Fahrstuhl anhalten -- dito -- Tür öffnen setzen -- wenn Tür AUF -- Ruf Etage 1 rücksetzen -- Tür öffen rücksetzen -- Runter setzen -- Hoch setzen -- Ruf Etage 2 merken 7

8 RUF_TEMP(1) <= '1'; elsif RUF_TEMP(1) = POSI(1) then if RUF_TEMP(1) = '1' then MACH_AUF <= '1'; if T_AUF = '1' then RUF_TEMP(1) <= '0'; MACH_AUF <= '0'; elsif RUF_TEMP < POSI and RUF_TEMP /= "0000" then LOS_RUNTER <= '1'; elsif RUF_TEMP > POSI then LOS_HOCH <= '1'; if RUF(2)'event and RUF(2) = '1' then RUF_TEMP(2) <= '1'; elsif RUF_TEMP(2) = POSI(2) then if RUF_TEMP(2) = '1' then MACH_AUF <= '1'; if T_AUF = '1' then RUF_TEMP(2) <= '0'; MACH_AUF <= '0'; elsif RUF_TEMP < POSI and RUF_TEMP /= "0000" then LOS_RUNTER <= '1'; elsif RUF_TEMP > POSI then LOS_HOCH <= '1'; if RUF(3)'event and RUF(3) = '1' then RUF_TEMP(3) <= '1'; elsif RUF_TEMP(3) = POSI(3) then if RUF_TEMP(3) = '1' then MACH_AUF <= '1'; if T_AUF = '1' then RUF_TEMP(3) <= '0'; MACH_AUF <= '0'; elsif RUF_TEMP < POSI and RUF_TEMP /= "0000" then LOS_RUNTER <= '1'; elsif RUF_TEMP > POSI then LOS_HOCH <= '1'; -- Ruf Etage 3 merken -- Ruf Etage 4 merken end process FRUF; ANZEIGE <= RUF_TEMP; T_AUF_ZU: process (CLK) -- Öffnen/Schließen setzen/rücksetzen if T_AUF = '1' and T_ZU = '0' and RUF_TEMP > "0000" then MACH_ZU <= '1' after 50 ns; else MACH_ZU <= '0'; end process T_AUF_ZU; end STEUERN; 8

9 4.2 Datei stimulus.vhd -- Testbench zum Fahrstuhldings Autor: -- Datum: Version: 2.01a - entity TESTBENCH is end TESTBENCH; architecture INPUTS of TESTBENCH is signal TB_CLK, TB_LSTB, TB_TZU, TB_TAUF, TB_KMAX, TB_ONOFF: bit; signal TB_ANZ, TB_RUF, TB_POSI: bit_vector (3 downto 0); signal TB_TMO, TB_FMO: bit_vector (1 downto 0); component FAHRSTUHL port (CLK,LS_TB,T_ZU,T_AUF,K_MAX,ON_OFF: in bit; ANZEIGE: out bit_vector (3 downto 0); RUF, POSI: in bit_vector (3 downto 0); T_MOTOR, F_MOTOR: out bit_vector (1 downto 0)); end component; VERBINDEN: FAHRSTUHL -- 'Verbinden' der Signale port map (TB_CLK, TB_LSTB, TB_TZU, TB_TAUF, TB_KMAX, TB_ONOFF, TB_ANZ, TB_RUF, TB_POSI, TB_TMO, TB_FMO); BETRIEB: process -- EIN/AUS TB_ONOFF <= '1'; wait; end process BETRIEB; TAKT: process -- Prozess-TAKT TB_CLK <= '0'; wait for 1ns; TB_CLK <= '1'; wait for 1ns; end process TAKT; RUFEN: process -- Fahrstuhl rufen TB_RUF <= "0100"; wait for 400 ns; TB_RUF <= "0010"; wait for 250 ns; TB_RUF <= "1010"; wait for 100 ns; TB_RUF <= "0000"; wait for 300 ns; TB_RUF <= "0001"; wait for 450 ns; TB_RUF <= "1000"; wait; end process RUFEN; T_KONTAKTE: process -- Türkontakte TB_TAUF <= '1';wait for 70 ns; TB_TAUF <= '0';wait for 50 ns; TB_TZU <= '1';wait for 100 ns; TB_TZU <= '0';wait for 50ns; TB_TAUF <= '1';wait for 190 ns; TB_TAUF <= '0';wait for 50 ns; TB_TZU <= '1';wait for 50ns; TB_TZU <= '0';wait for 50ns; TB_TAUF <= '1';wait for 120 ns; TB_TAUF <= '0';wait for 50 ns; TB_TZU <= '1'; wait for 90ns; TB_TZU <= '0';wait for 50ns; TB_TAUF <= '1';wait for 200 ns; TB_TAUF <= '0';wait for 50 ns; TB_TZU <= '1';wait for 110 ns; TB_TZU <= '0';wait for 50ns; TB_TAUF <= '1'; wait for 240ns; TB_TAUF <= '0';wait for 80ns; TB_TAUF <= '1';wait for 80ns; TB_TAUF <= '0';wait for 100ns; TB_TAUF <= '1'; wait; end process T_KONTAKTE; ETAGE: process -- Position des Fahrstuhls TB_POSI <= "0001"; wait for 160ns; -- 1.Etage TB_POSI <= "0010"; wait for 40ns; -- 2.Etage 9

10 TB_POSI <= "0100"; wait for 350ns; -- 3.Etage TB_POSI <= "0010"; wait for 270ns; -- 2.Etage TB_POSI <= "0100"; wait for 40ns; TB_POSI <= "1000"; wait for 330ns; TB_POSI <= "0100"; wait for 40ns; TB_POSI <= "0010"; wait for 40ns; TB_POSI <= "0001"; wait; end process ETAGE; - SCHUTZ: process -- Sicherheitskontakte TB_KMAX <= '0';wait for 1600ns; -- Überlast TB_KMAX <= '1';wait for 20 ns; TB_KMAX <= '0';wait for 160ns; TB_LSTB <= '1';wait for 20ns; -- Lichtschrank, Türblockier TB_LSTB <= '0'; wait; end process SCHUTZ; end INPUTS; 10

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Übung 7: VHDL Automaten

Übung 7: VHDL Automaten Übung 7: VHDL Automaten Aufgabe 1 Zustandsdiagramm Erkennen. (a) Analysieren Sie den unteren Code und zeichnen Sie die entsprechenden Zustands- und RTL- Diagramme. (b) Identifizieren Sie den getakteten

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

VHDL Simulation. in ORCAD

VHDL Simulation. in ORCAD VHDL Simulation in ORCAD V1.0 Graz, Jänner 2002 Inhaltsverzeichnis 1 Einleitung 1 1.1 Simulation und Verifikation 2 1.2 Entwurfsqualität 2 1.3 Begriffe in der Elektronik und ihre Äquivalenz zu VHDL 3 1.4

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Qualitätsorientierter System Entwurf

Qualitätsorientierter System Entwurf Prof. Dr. Görschwin Fey, fey@informatik.uni-bremen.de, MZH 3070 Jan Malburg M. Sc., malburg@informatik.uni-bremen.de, MZH 3050 Programmieraufgaben Qualitätsorientierter System Entwurf Die Zulassung zum

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben

VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben VHDL-Synthese digitaler Systeme Lösungen zu den Übungsaufgaben Aufgabe 1 -- Aufgabe 1 -- 8 zu 1 Decoder entity DECODER1X8 is port( S: in bit_vector(2 downto 0); Y: out bit_vector(7 downto 0)); end DECODER1X8;

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation

Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Praktikum DST (FPGA Teil) 5. Termin 29.11.2016 Praktikum DST FPGA 5.Termin Projekterstellung & Simulation Inhalt Praktikum DST FPGA 5.Termin Projekterstellung & Simulation... 1 1. Erstellung eines Projektes....

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Architecture Body Funktionale Beschreibung einer "Design Entity" - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene

Architecture Body Funktionale Beschreibung einer Design Entity - * beschreibt die Funktion auf Verhaltens-, Struktur- oder Datenfluss-Ebene 5.3.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines Designs * repräsentiert ein komplettes

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 3. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 3 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 3: Themen Hardware-Beschreibungssprachen Syntax von VHDL Simulation Synthese Testrahmen

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

2. Einführung in VHDL

2. Einführung in VHDL 2. Einführung in VHDL Programm für heute: Motivation für eine Hardwarebeschreibungssprache Aufbau einer VHDL-Beschreibung Signale Zuweisungen Wertebereich Schnittstellen Entity und Architecture Hardwareanalogie

Mehr

1 Grundlagen von VHDL

1 Grundlagen von VHDL TI 2 - Zusammenfassung 1 1 Grundlagen von VHDL entity Die entity deklariert die externe Schnittstelle. Es werden die elektrischen Signale (PORTS) und die zahlenmäßigen (GENERICS) Signale beschrieben. Jeder

Mehr

Hardwarepraktikum WS05/06

Hardwarepraktikum WS05/06 Hardwarepraktikum WS5/6 Sven Eckelmann 2..26 Inhaltsverzeichnis Versuch Komb. NANDNANDRealisierung.......................2 NORNORRealisierung.........................3 Schaltung................................

Mehr

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I

Hardwarepraktikum WS 1997/98. Versuch 4. Sequentielle Systeme I Hardwarepraktikum W 997/98 hemnitz, 8.03.09 Hardwarepraktikum W 997/98 Versuch 4 equentielle ysteme I Jan Horbach, 758 hris Hübsch, 7543 Lars Jordan, 7560 eite Hardwarepraktikum W 997/98 hemnitz, 8.03.09

Mehr

Einführung in ModelSim

Einführung in ModelSim Einführung in Version 0.5 Verteiler: Name (alphab.) Abteilung Ort Laszlo Arato EMS NTB, Buchs Dr. Urs Graf INF NTB, Buchs Dokumentenverwaltung Dokument-Historie Version Status Datum Verantwortlicher Änderungsgrund

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

VHDL Post-Route Simulation mit XILINX-FPGA s

VHDL Post-Route Simulation mit XILINX-FPGA s Dipl.-Ing. T. Wurlitzer (a3url@et.htk-leipzig.de) Prof. Dr.-Ing. habil. W. Reinhold (reinhold@et.htk-leipzig.de) VHDL Post-Route Simulation mit XILINX-FPGA s I. VHDL als Hardarebeschreibungssprache ist

Mehr

6. Aufgabenblatt mit Lösungsvorschlag

6. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 6. Aufgabenblatt mit Lösungsvorschlag 26.05.2010 Aufgabe 1: Entwurf der Steuerung eines Verkaufsautomaten Folge Spezifikation für

Mehr

Schritt 1 : Das Projekt erstellen und programmieren des Zählers

Schritt 1 : Das Projekt erstellen und programmieren des Zählers Implementieren eines Mini-Testprogramms Ziel soll es sein ein kleines VHDL Projekt zu erstellen, eine entsprechende Testbench zu schreiben, dass Projekt zu synthetisieren und auf dem FPGA- Testboard zu

Mehr

Übungsaufgaben mit Lösungen zur 6. Auflage

Übungsaufgaben mit Lösungen zur 6. Auflage Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen zur 6. uflage Zu den einzelnen Kapiteln sind Übungsaufgaben angegeben. Einige enthalten die Lösung in Kurzform. Sie finden die ausführlichen Musterlösungen

Mehr

Synchrone Zähler. Synchroner Dualzähler

Synchrone Zähler. Synchroner Dualzähler Synchrone Zähler alle Zählstufen haben bezüglich des Zähltaktes etwa die gleiche Verzögerungszeit. Dadurch Vorteile gegenüber asynchronen Zählern. Entwurf von Synchronzählern aufwendiger. auf den Eingang

Mehr

1 Hardwareentwurf. 1.1 Grundlagen

1 Hardwareentwurf. 1.1 Grundlagen 1 Hardwareentwurf 1.1 Grundlagen POSITIVE natürliche Zahlen N NATURAL N 0 INTEGER ganze Zahlen Z REAL reelle Zahlen R BOOLEAN (true, false), (low, high) BIT ( 0, 1 ) CHARACTER (..., A, B,..., a, b,...,

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Aufgaben zur Attiny-Platine

Aufgaben zur Attiny-Platine Das Attiny-Projekt Aufgaben 1 Aufgaben zur Attiny-Platine 1. LEDs blinken 1.1 Schließen Sie eine rote LED an PortB.0 und eine grüne LED an PortB.1 an (vgl. Abb. 1). Achten Sie dabei darauf, dass die langen

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

Gliederung dieser Einführung in VHDL

Gliederung dieser Einführung in VHDL Gliederung dieser Einführung in VHDL 1) Formaler Aufbau von VHDL-Modellen 2) Testumgebungen VHDL-Modelle, die Eingangssignale zum Testen eines Modells bereitstellen 3) Zeitmodelle in VHDL Nachbildung des

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Relaismatrix x64. Technische Dokumentation. V Apr 2013

Relaismatrix x64. Technische Dokumentation. V Apr 2013 Relaismatrix x64 Technische Dokumentation V1.2 1. Apr 2013 Inhaltsverzeichnis 1 Funktionsbeschreibung...3 2 Technische Daten:...4 3 Stromversorgung...4 4 Ansteuerung...4 4.1.1 USB unter Linux...4 4.1.2

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Systembeschreibung und Entwurf

Systembeschreibung und Entwurf Systembeschreibung und Entwurf Systemspezifikation mit Hardwarebeschreibungssprachen Bernhard Hoppe Thomas Schumann Hochschule Darmstadt University of Applied Sciences Inhaltsverzeichnis Inhaltsverzeichnis

Mehr

LED bewegt sich von 1ten -> 2ten > 3ten LED

LED bewegt sich von 1ten -> 2ten > 3ten LED Schnellinstallation Eine vormontierte Vorhangschiene/garnitur ist standardmäßig auf dem ersten Kanal der Fernbedienung programmiert. Wenn Sie mehrere motorisierte Garnituren mit einer Fernbedienung bestellen,

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Microcontroller Programmierung. Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0

Microcontroller Programmierung. Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0 Microcontroller Programmierung Ein PDV-Vertiefungsprojekt von: Chr. Schulz, P. Simon und D. Stein Sommersemester 2005 Version 1.0 Inhalt Einführung Die Hardware Die Schaltlogik Implementierung der Steuerung

Mehr

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung

Anwendungen der Prozessdatenverarbeitung (Liste V) Leitung: Prof. Dr. Linn. Microcontroller Programmierung Anwendungen der Prozessdatenverarbeitung (Liste V) Microcontroller Programmierung Technisches Handbuch Christoph Schulz Patrik Simon Dirk Stein Sommersemester 2005 INHALTSVERZEICHNIS Einführung - 2 - Pinbelegung

Mehr

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen

Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations- und Verarbeitungsalgorithmen Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Untersuchung zur hardwareunterstützten Entwurfsverifikation von Stream-basierten Kommunikations-

Mehr

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen

HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers. Aufbau eines Volladdierers mit diskreten Bausteinen HaPra 2007 - Versuchsreihe 4 - Aufbau eines Volladdierers Versuchsreihe 4 Aufbau eines Volladdierers Aufbau eines Volladdierers Aufbau eines Volladdierers mit diskreten Bausteinen Aufbau eines Volladdierers

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes

Wozu Verifikation? Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des Geldes Verifikation Dr. Wolfgang Günther Verifikation 2 Wozu Verifikation? Produktivität im ASIC Entwurf Der Entwurf eines 1 million gate ASIC benötigt ca. 2000 Mann Tage Quality Assurance benötigt 50% der Zeit/des

Mehr

Anleitung Heimpraktikum Mechatronic Safety

Anleitung Heimpraktikum Mechatronic Safety Datei: Anleitung Heimpraktikum Mechatronic-Safety Letzte Änderung: 04.03.2015 11:48:00-1 - Anleitung Heimpraktikum Mechatronic Safety 1 Einführung 1.1 Lehrziele In diesem Versuch kann im Selbststudium

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Digitale Steuerungen

Digitale Steuerungen Digitale Steuerungen Digitale Steuerungen mit Crocodile Technology Realschule Duisburg Rheinhausen Seite 1 / 9 Oberfläche von Crocodile Technology Hauptmenu Digitale Komponenten Eingabe Logik-Gatter Mikroprozessoren

Mehr

Visualisierung eines Step7-Programmes in WinCC

Visualisierung eines Step7-Programmes in WinCC Simatic WinCC - Panel Seite 1 Visualisierung eines Step7-Programmes in WinCC MPI-Bus Projektierungsrechner mit Simatic Manager und WinCC Multi-Panel Simatic 300 MPI-Bus Reelle Einund Ausgänge Simatic WinCC

Mehr

Chronis Uno easy die Programmschaltuhr aus dem inteo-steuerungsprogramm von Somfy für die Steuerung eines elektrisch betriebenen

Chronis Uno easy die Programmschaltuhr aus dem inteo-steuerungsprogramm von Somfy für die Steuerung eines elektrisch betriebenen Programmschaltuhr GEBRAUCHS- ANWEISUNG Damit Sie die Vorzüge Ihrer Programmschaltuhr optimal nutzen können, bitten wir Sie diese Gebrauchsanweisung genau durchzulesen. Für Fragen, Anregungen und Wünsche

Mehr

Vergleich zwischen Open Roberta / NEPO und LEGO MINDSTORMS EV3 / EV3-G. - Übersicht/Fact-Sheet - Programmblöcke - Beispielprogramme

Vergleich zwischen Open Roberta / NEPO und LEGO MINDSTORMS EV3 / EV3-G. - Übersicht/Fact-Sheet - Programmblöcke - Beispielprogramme Vergleich zwischen Open Roberta / NEPO und LEGO MINDSTORMS EV3 / EV3-G - Übersicht/Fact-Sheet - Programmblöcke - Beispielprogramme Roberta-Zentrale Fraunhofer IAIS Version 1.0 18.07.2016 Übersicht Open

Mehr

Handbuch LCD 240 Configurator

Handbuch LCD 240 Configurator Kapitelbezeichnung Kapitelbezeichnung X.X Unterpunkt Handbuch LCD 240 Configurator LCD 240-2VS LCD 240-2VP LCD 240-2HS LCD 240-2HSC LCD 240-2HP LCD 240-2HPC Kapitelbezeichnung Kapitelbezeichnung X.X Unterpunkt

Mehr

WaveFormer Pro in Simulationsumgebungen mit ModelSim

WaveFormer Pro in Simulationsumgebungen mit ModelSim EDV BERATUNGS- UND HANDELSGESELLSCHAFT WEDELER LANDSTR. 93 22559 HAMBURG TEl.: (040) 18980520 FAX: (040) 811037 Http://www.databit.de WaveFormer Pro in Simulationsumgebungen mit ModelSim WaveFormer Pro

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Applikation Öffnen der Schutztür bei einer Anlage mit servotec S2xxx-FS Achsen

Applikation Öffnen der Schutztür bei einer Anlage mit servotec S2xxx-FS Achsen Applikation Öffnen der Schutztür bei einer Anlage mit servotec S2xxx-FS Achsen Kurzfassung: Der Vorgang Maschinenablauf anhalten Schutztür öffnen manueller Eingriff durch Bedienpersonal Schutztür wieder

Mehr

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau

Formal methods for fun and profit VHDL. Ilja Kipermann. Sommersemester 2005. Leitung Jun. Prof. Beckert. Universität Koblenz-Landau Formal methods for fun and profit VHDL Ilja Kipermann Sommersemester 2005 Leitung Jun. Prof. Beckert Universität Koblenz-Landau Einleitung Früher: Manuelles Zeichnen von Belichtungsmasken Heute: Hardwarebeschreibungssprachen

Mehr

Praktikum Steuerungstechnik

Praktikum Steuerungstechnik LABOR FÜR STEUERUNGS- UND REGELUNGSTECHNIK FH München University of Applied Sciences, FK06 Raum D6/D7, r.froriep@fhm.edu Praktikum Steuerungstechnik Prof. Dr.-Ing. R. Froriep, Dipl.-Ing. F. Kuplent Experimente

Mehr

10 Übungsaufgaben mit Lösungen

10 Übungsaufgaben mit Lösungen Übungsaufgaben mit Lösungen Zu den einzelnen Kapiteln sind zahlreiche Übungsaufgaben mit ausführlichen Musterlösungen angegeben. Der Leser soll möglichst die Aufgaben selbständig lösen und anschließend

Mehr

Applikationsbeschreibung Tebis

Applikationsbeschreibung Tebis 5 Applikationsbeschreibung Tebis Beschreibung Funk sprodukte Rollladen / Jalousien Bestellnummer Produktbezeichnung TR221 Funk Schaltausgang 1fach Rollladen / Jalousien UP Eingangsmodul KNX / EIB TR221

Mehr

Anwendungsbeispiele Nano ICCS mit Schrittschaltfunktion

Anwendungsbeispiele Nano ICCS mit Schrittschaltfunktion Nano ICCS mit Schrittschaltfunktion Steuerung für die Nebelscheinwerfer angeschlossen an die Leiterplatte Nebelscheinwerfer müssen eingeschaltet sein, wenn ein Impulssignal kommt. Die Nebelscheinwerfer

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

ModelSim Xilinx Edition

ModelSim Xilinx Edition ModelSim Xilinx Edition Die Firma Xilinx Inc stellt eine kostenfreie, zeitlich unbegrenzte Evaluierungsversion des Simulations- und Synthesewerkzeugs ModelSim Xilinx Edition zur Verfügung, für die Sie

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 7.8.2 Die Bearbeitungsdauer beträgt für

Mehr

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03

PROJEKT WÜRFEL. von Vincent Bootz, Christoph Beigel, Matthias Ackermann. Projekt Würfel WS2002/03 WS2002/03 PROJEKT WÜRFEL von Vincent Bootz, Christoph Beigel, Matthias Ackermann Übersicht Übersicht 1. Spezifikation 2. Designflow 3. Architektur 4. Zufallszahlen mit LFSR 5. Synchronisationsschaltung

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Einführung in die Informationstechnik. Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT. Realschule Tamm Technik Axel Steinbach

Einführung in die Informationstechnik. Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT. Realschule Tamm Technik Axel Steinbach Einführung in die Informationstechnik Eingabe - Verarbeitung - Ausgabe CIUS - KREISLICHT Realschule Tamm Technik Axel Steinbach CIUS Kreislicht Netzgerät 1 V Interface 1 Resetknopf 4 Monitor Computer...

Mehr

TOOLS for MR V1.5.0 für Windows

TOOLS for MR V1.5.0 für Windows TOOLS for MR V1.5.0 für Windows TOOLS for MR V1.5.0 für Windows besteht aus den folgenden Programmen. - V1.5.0 - V1.5.0 - V1.5.0 Wichtigste Verbesserungen und behobene Fehler V1.2.0 (32-Bit) / V1.2.1 (64-Bit)

Mehr

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014

Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabenstellung Praktikum Mikrorechentechnik Sommersemester 2014 Aufgabe: Entwurf und Implementierung eines Weckers auf Basis des MSP430 Education Systems Beschreibung: Gegenstand des Praktikums ist der

Mehr

Interpreter - Gliederung

Interpreter - Gliederung Institut für Informatik Ludwig-Maximilian Universität Interpreter - Gliederung Programmiersprache Syntax Konkrete Syntax Abstrakter Syntax Baum (Abstrakte Syntax) Parser Syntaktische Struktur einer Sprache

Mehr