Springer-Lehrbuch. Digitaltechnik. Ein Lehr- und Übungsbuch. Bearbeitet von Klaus Urbanski, Roland Woitowitz, Winfried Gehrke

Größe: px
Ab Seite anzeigen:

Download "Springer-Lehrbuch. Digitaltechnik. Ein Lehr- und Übungsbuch. Bearbeitet von Klaus Urbanski, Roland Woitowitz, Winfried Gehrke"

Transkript

1 Springer-Lehrbuch Digitaltechnik Ein Lehr- und Übungsbuch Bearbeitet von Klaus Urbanski, Roland Woitowitz, Winfried Gehrke 1. Auflage Buch. xiv, 491 S. ISBN Format (B x L): 15,5 x 23,5 cm Weitere Fachgebiete > Technik > Elektronik > Mikroprozessoren schnell und portofrei erhältlich bei Die Online-Fachbuchhandlung beck-shop.de ist spezialisiert auf Fachbücher, insbesondere Recht, Steuern und Wirtschaft. Im Sortiment finden Sie alle Medien (Bücher, Zeitschriften, CDs, ebooks, etc.) aller Verlage. Ergänzt wird das Programm durch Services wie Neuerscheinungsdienst oder Zusammenstellungen von Büchern zu Sonderpreisen. Der Shop führt mehr als 8 Millionen Produkte.

2 Inhaltsverzeichnis 1 Zahlensysteme Allgemeines Zahlensystem Dual-, Oktal- und Hexadezimalsystem Konvertierung zwischen den Zahlensystemen Arithmetische Operationen im Dualsystem Die duale Addition Die duale Subtraktion Die Multiplikation von Dualzahlen (Booth-Algorithmus) Die Division von Dualzahlen (Restoring-Methode) Die Darstellung gebrochener Zahlen im Dualsystem Binäre Vorsätze für Zweierpotenzen Logische Funktionen Grundbegriffe Logik-Pegel und Logik-Zustand einer binären Variablen Zuordnungssysteme Signalnamen in der Digitaltechnik Vergleich zwischen analoger und digitaler physikalischer Größe Schaltalgebra Verknüpfungszeichen Definition der logischen Funktionen Schaltsymbole Rechenregeln der Schaltalgebra Logikstufen Realisierung der Grundverknüpfungen in NAND- und NOR-Technik Normalform einer logischen Funktion Minimieren logischer Funktionen Allgemeines Minimierungsverfahren Karnaugh-Veitch-Diagramm (KV-Diagramm) KV-Diagramm für zwei Eingangsvariablen KV-Diagramm für drei Eingangsvariablen KV-Diagramm für vier Eingangsvariablen KV-Diagramm für fünf Eingangsvariablen... 50

3 VIII Inhaltsverzeichnis 3 Technische Realisierung digitaler Schaltungen Überblick über die technologische Entwicklung Realisierungskonzepte nach Einführung integrierter Schaltkreise Charakteristische Eigenschaften digitaler integrierter Schaltkreise Lastfaktoren Störspannungsabstand Schaltzeiten Bausteinfamilien Transistor-Transistor-Logik (TTL) Digitale Schaltungen in Standard-TTL Digitale Schaltungen in Schottky-TTL TTL-Schaltungen mit spezieller Ausgangsstufe Realisierung der Pegel-Zustände an TTL-Eingängen Integrierte Schaltungen in MOS-Technik Emitter Coupled Logic (ECL) Trends bei der technologischen Weiterentwicklung Anwenderspezifische Bausteine (Application Specific ICs) Fullcustom ICs Gate Array Standardzellen IC Programmierbare Logik Programmable Logic Device PLD Complex Programmable Logic Device (CPLD) Field Programmable Gate Array FPGA Allgemeiner Aufbau eines FPGAs FPGA mit Antifuse-Link FPGA mit SRAM-Verbindungselement VHDL als Entwurfs- und Simulationssprache Einführung in VHDL Motivation zum Erlernen von VHDL in einem Grundkurs Grundlagen Entity-Deklaration Einfache Entity-Deklaration ohne Parameterübergabe Erweiterte Entity-Deklaration mit Parameterübergabe Entity-Declaration mit Entity-Anweisungen Architecture Verhaltensbeschreibung (Behavioral description) Nebenläufige Anweisungen in der Verhaltensbeschreibung Nebenläufige Signalzuweisung When-Else-Anweisung With-Select-When-Anweisung Anwendungsbeispiele mit nebenläufigen Anweisungen Prozess-Anweisung...107

4 Inhaltsverzeichnis IX Sequentielle Anweisungen in der Verhaltensbeschreibung Sequentielle Signalzuweisung Sequentielle Variablenzuweisung If-Then-Else-Anweisung Case-When-Anweisung For-Loop-Anweisung While-Loop-Anweisung Next- und Exit-Anweisung Anwendungsbeispiele mit Prozess und sequentiellen Anweisungen Strukturbeschreibung (Structural description) Unterprogramme Prozeduren Funktionen Weiterführende Kapitel Assertion- und Report-Anweisung Alias-Deklaration Überladen (Overloading) Auflösungsfunktionen (Resolution functions) Package und Use-Anweisung Bibliotheken Generate-Anweisung Block-Anweisung Konfiguration Konfiguration für VHDL-Modelle mit Verhaltensbeschreibung Komponenten-Konfiguration Block-Konfiguration VHDL-Grundbegriffe zum Nachschlagen Bezeichner (Identifier) Datenobjekte und Objektklassen Konstanten Variablen Signale Datentypen Skalare Datentypen (Scalar types) Zusammengesetzte Datentypen (Composite types) Subtypes Attribute Operatoren und Operanden Testen von VHDL-Modellen Simulationstechniken Testbench mit Testvektoren Testbench mit Ein- und Ausgabedatei

5 X Inhaltsverzeichnis 5 Kombinatorische Schaltungen Codierschaltungen Alphanumerischer Code Numerischer Code Multiplexer und Demultiplexer Multiplexer Demultiplexer Addierer Sequentielle Schaltungen Elementare Schaltwerke Digitale Oszillatoren Monostabile Kippstufen (Monoflops) Bistabile Kippstufen (Flipflops) Ungetaktetes RS-Flipflop (RS-Latch) Einzustandsgesteuerte Flipflops Einflankengesteuerte Flipflops Zähler Asynchrone Zähler Asynchroner Dualzähler Asynchroner Modulo-m-Zähler Synchrone Zähler Synchroner Dualzähler Synchroner Modulo-m-Zähler Schieberegister Realisierung mit flankengesteuerten D-Flipflops Anwendungsgebiete Serielle Datenübertragung Rechenoperationen Rückgekoppelte Schieberegister Systematische Beschreibung der Schaltwerke Grundlagen der Automatentheorie Das Zustandsdiagramm und die Zustandsfolgetabelle Zustandsdiagramm Zustandsfolgetabelle Zustandsreduzierung Asynchrone Schaltwerke Grundlagen synchroner Schaltwerke Reset-Logik zur Vorgabe des Anfangszustands Asynchrone und synchrone Eingabe Kombinatorische Ausgabe und Registerausgabe Beispiel für die Analyse synchroner Schaltwerke Beispiele für den Entwurf synchroner Schaltwerke...219

6 Inhaltsverzeichnis XI 7 Digitale Halbleiterspeicher Schreib-/Lesespeicher (RAM) Statisches RAM (SRAM) Dynamisches RAM (DRAM) Das Fast-Page-Mode-DRAM (FPM-DRAM) Das Enhanced DRAM (EDRAM) Das Extended-Data-Output-DRAM (EDO-DRAM) Burst Extended Data Output DRAM (BEDO-DRAM) Das Synchrone DRAM (SDRAM) Das Enhanced SDRAM (ESDRAM) Das Double Data Rate SDRAM (DDR SDRAM) Das Quad Data Rate SDRAM (QDR SDRAM) Weiterentwicklung des Datendurchsatzes mittels GDDR SGRAMs Quasistatisches dynamisches RAM Dual-Port-RAM und Video-RAM First-In/First-Out-Speicher (FIFO-Speicher) Das FRAM Das MRAM Festwertspeicher (ROM) Maskenprogrammiertes ROM Programmierbares ROM (PROM) UV-löschbares, programmierbares ROM (EPROM) Elektrisch löschbare, programmierbare ROMs (EAROM, EEPROM) Nichtflüchtige RAMs (Non Volatile RAMs, NOVRAMs) Flash-Speicher (Flash Memory) Entwurf komplexer Speichersysteme Tabellarische Übersicht über verfügbare Speicherbausteine Analog-Digital- und Digital-Analog-Umsetzer Das Wesen von Analog-Digital-Umsetzern Anwendungen von Analog-Digital- und Digital-Analog-Umsetzern Systeme zur Umsetzung analoger in digitale und digitaler in analoge Signale Das Abtasttheorem Das Abtasthalteglied (AHG) Forderungen an ein Abtasthalteglied während der Abtastphase Forderungen an ein Abtasthalteglied während der Haltephase Forderungen an ein Abtasthalteglied bezüglich der Umschaltcharakteristik

7 XII Inhaltsverzeichnis Erreichbare Genauigkeit für ADUs mit einer Codewortlänge von n Bit Digitalcodes für ADUs und DAUs Prinzipien der Analog-Digital-Umsetzung Das Parallelverfahren Das Wägeverfahren Analog-Digital-Umsetzer mit sukzessiver Approximation Analog-Digital-Umsetzer nach dem Wägeprinzip in Kaskadenstruktur Das Zählverfahren Das erweiterte Parallelverfahren Das allgemeine Prinzip des erweiterten Parallelverfahrens Der Pipeline-Analog-Digital-Umsetzer Das erweiterte Zählverfahren Sonderformen von Analog-Digital-Umsetzern Indirekte Verfahren Der Sigma-Delta-Umsetzer Die nichtlineare Analog-Digital-Umsetzung Prinzipien der Digital-Analog-Umsetzung Die Summation gewichteter Ströme Umsetzer mit R-2R-Leiternetzwerk Eigenschaften realer AD- und DA-Umsetzer Statische Fehler Die Quantisierungsfehler Der Offsetfehler Der Verstärkungsfehler Die Nichtlinearität Die differenzielle Nichtlinearität Der Monotoniefehler Die Betriebsspannungsabhängigkeit der Wandlerparameter Dynamische Fehler Die Einschwingzeit Der Signal-Rausch-Abstand und die Effektive Auflösung Harmonische Verzerrungen Das Histogramm Glitch-Fläche Betrieb von Analog-Digital-Umsetzern Betrieb von Universal-Analog-Digital-Umsetzern Betrieb von Analog-Digital-Umsetzern mit Mikroprozessor-Interface...341

8 Inhaltsverzeichnis XIII 9 Mikroprozessoren und Mikrocontroller Grundlagen der Mikroprozessortechnik Grundstruktur eines Mikrorechnersystems Befehlsabarbeitung in einem Mikroprozessor Typische Befehlsklassen Arithmetische und logische Befehle Transferbefehle Befehle zur Programmablaufsteuerung Spezialbefehle Adressierung von Daten und Befehlen Unmittelbare Adressierung Absolute Adressierung Indirekte Adressierung Stapelspeicher Befehlsadressierung Maßnahmen zur Steigerung der Rechenleistung Erhöhung der Taktfrequenz Parallelität Pipelining Befehlssatzerweiterungen Grundlegende Mikroprozessorarchitekturen CISC RISC RISC und Harvard-Architektur Mikrocontroller Die Mikrocontroller-Familie Atmel-AVR tinyavr megaavr AVR XMEGA Die AVR-CPU Statusregister Arithmetische und logische Befehle Transferbefehle Sprungbefehle Beispiele für die Verwendung der AVR-Befehle Arithmetische und logische Grundfunktionen Befehle für den Zugriff auf Speicher und Peripheriekomponenten Programmverzweigungen Grundlagen der Interruptverarbeitung Interruptfreigabe Interrupt-Service-Routinen Eingebettete Peripheriekomponenten Ports Timer

9 XIV Inhaltsverzeichnis Normal Mode CTC Modus PWM-Modi Die Timer des ATmega Schnittstellen für die serielle Datenübertragung U(S)ART SPI TWI / I 2 C Analoge Peripheriekomponenten Analog/Digital-Umsetzer Analog-Komparator Interrupt-basierte Kommunikation mit Peripheriekomponenten Interruptverarbeitung und atomare Operationen FIFO-basierte Kommunikation mit Peripheriekomponenten Hinweise zum praktischen Selbststudium Hardwareauswahl Entwicklungsumgebungen Programmierung und Debugging von AVR-Mikrocontrollern Programmiergeräte Fuse-Bits Erste Schritte Literatur Index...481

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage

HANSER. von Prof. Dipl.-Ing. Johannes Borgmeyer. 2., verbesserte Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. von Prof. Dipl.-Ing. Johannes Borgmeyer 2., verbesserte Auflage Mit

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr. Jürgen Reichardt, Oldenbourg Verlag München Inhaltsverzeichnis Vorwort V 1 Einleitung 1 1.1 Die Hardwarebeschreibungssprache VHDL 3 1.2 Digitale

Mehr

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1. Inhaltsverzeichnis Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.2 Binäre und logische Zustände 18

Mehr

Inhaltsverzeichnis. Teil I Aufgaben 1

Inhaltsverzeichnis. Teil I Aufgaben 1 iii Teil I Aufgaben 1 1 Grundlagen der Elektrotechnik 3 Aufgabe 1: Punktladungen............................ 3 Aufgabe 2: Elektronenstrahlröhre........................ 3 Aufgabe 3: Kapazität eines Koaxialkabels...................

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign"

Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign Inhaltsverzeichnis Vorlesung VHDL, HW/SW-Codesign" 1 Einführung... 1-1 2 VHDL Grundlagen... 2-1 2.1 Allgemeines... 2-1 2.2 Aufbau eines VHDL-Modells...2-7 VHDL Design-Einheiten Überblick...2-10 Programmerstellung...

Mehr

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7

Rechnerarchitektur Atmega 32. 1 Vortrag Atmega 32. Von Urs Müller und Marion Knoth. Urs Müller Seite 1 von 7 1 Vortrag Atmega 32 Von Urs Müller und Marion Knoth Urs Müller Seite 1 von 7 Inhaltsverzeichnis 1 Vortrag Atmega 32 1 1.1 Einleitung 3 1.1.1 Hersteller ATMEL 3 1.1.2 AVR - Mikrocontroller Familie 3 2 Übersicht

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime professionnel Métiers de l'électricité Division de l'apprentissage artisanal Section des électroniciens en communication Microélectronique Classe de X2EC Nombre

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 9 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Informatik für Ingenieure

Informatik für Ingenieure Informatik für Ingenieure Eine Einführung Von Prof. Dr. rer. nat. Wolfgang Merzenich Universität-Gesamthochschule Siegen und Prof. Dr.-Ing. Hans Christoph Zeidler Universität der Bundeswehr Hamburg B.

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt?

Was ist VHDL? V: very high speed integrated circuit HDL hardware description language. mächtige HW-Beschreibungssprache. Wozu hat man VHDL entwickelt? Was ist VHDL? V: very high speed integrated circuit HDL hardware description language mächtige HW-Beschreibungssprache Wozu hat man VHDL entwickelt? weitere HDLs? - HW beschreiben, beschleunigen - Simulation

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 8. Vorlesung Klaus Kasper Inhalt Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM ROM Programmierbare ROM Realisierung digitaler Systeme Automaten Digitaltechnik 2 2 Halbleiterspeicher

Mehr

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt

Technische Grundlagen der Informatik Kapitel 5. Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Technische Grundlagen der Informatik Kapitel 5 Prof. Dr. Sorin A. Huss Fachbereich Informatik TU Darmstadt Kapitel 5: Themen Speicherarchitekturen RAM-, ROM-Speicher Flash-Speicher Logikimplementierung

Mehr

Das große All-in-All CPLD/FPGA Tutorial

Das große All-in-All CPLD/FPGA Tutorial Das große All-in-All CPLD/FPGA Tutorial Mit diesem Tutorial sollen die ersten Schritte in die Welt der programmierbaren Logik vereinfacht werden. Es werden sowohl die Grundlagen der Logik, die benötigte

Mehr

Lehr- und Übungsbuch Elektronik

Lehr- und Übungsbuch Elektronik Lehr- und Übungsbuch Elektronik Günther Koß, Wolfgang Reinhold, Friedrich Hoppe ISBN 3-446-40016-8 Inhaltsverzeichnis Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40016-8 sowie

Mehr

Inhaltsverzeichnis. Teil I. Grundlagen

Inhaltsverzeichnis. Teil I. Grundlagen Inhaltsverzeichnis Teil I. Grundlagen 1 Erklärung der verwendeten Größen 2 Passive RC- und LRC-Netzwerke 2.1 Der Tiefpaß 2.2 Der Hochpaß 2.3 Kompensierter Spannungsteiler.... 2.4 Passiver KC-Bandpaß 2.5

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Technische Informatik

Technische Informatik Technische Informatik Eine einführende Darstellung von Prof. Dr. Bernd Becker Prof. Dr. Paul Molitor Oldenbourg Verlag München Wien Inhaltsverzeichnis 1 Einleitung 1 1.1 Was ist überhaupt ein Rechner?

Mehr

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital

1 Digital vs. Analog. 2 Zahlendarstellungen und Codes. 1.1 Analog. 1.2 Digital. 1.3 Unterschied Analog zu Digital. 1.4 Von Analog zu Digital Digitaltechnik DT1 - Zusammenfassung (v2.0 / Januar 2013) Seite 1 von 8 1 Digital vs. Analog 1.1 Analog Die reale Welt ist analog (z.b. Sinnesorgane) Die Analoge Verarbeitung stellt das Ergebnis einer

Mehr

Programmieren der AVR RISC MikroController mit BASCOM-AVR

Programmieren der AVR RISC MikroController mit BASCOM-AVR Claus Kühnel Programmieren der AVR RISC MikroController mit BASCOM-AVR 3. bearbeitete und erweiterte Auflage Eine Einführung anhand von Programmbeispielen 5 Inhalt 1 Vorwort 9 2 Die AVR-Mikrocontroller

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Thema: Grundlage Informationseinheiten Zahlensysteme Zahlendarstellung im Computer Digitaltechnikgrundlagen Halbleiterspeicher Rechnerarchitektur Informationseinheiten BIT NIBBLE MSB

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik von Dirk W. Hoffmann 1. Auflage Hanser München 2007 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 446 40691 9 Zu Leseprobe schnell und portofrei erhältlich

Mehr

examen.press Echtzeitsysteme Grundlagen, Funktionsweisen, Anwendungen Bearbeitet von Heinz Wörn

examen.press Echtzeitsysteme Grundlagen, Funktionsweisen, Anwendungen Bearbeitet von Heinz Wörn examen.press Echtzeitsysteme Grundlagen, Funktionsweisen, Anwendungen Bearbeitet von Heinz Wörn 1. Auflage 2005. Taschenbuch. xiv, 556 S. Paperback ISBN 978 3 540 20588 3 Format (B x L): 15,5 x 23,5 cm

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Volkmar Sieh Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2013 VHDL Verhaltensmodellierung 1/18 2013-01-11 Inhalt

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

Webseiten entwickeln mit ASP.NET

Webseiten entwickeln mit ASP.NET Webseiten entwickeln mit ASP.NET Eine Einführung mit umfangreichem Beispielprojekt. Alle Codes in Visual Basic und C# Bearbeitet von Jamal Baydaoui 1. Auflage 2013. Buch. 316 S. ISBN 978 3 446 43723 4

Mehr

Vorlesung: Technische Informatik 3

Vorlesung: Technische Informatik 3 Rechnerarchitektur und Betriebssysteme zhang@informatik.uni-hamburg.de Universität Hamburg AB Technische Aspekte Multimodaler Systeme zhang@informatik.uni-hamburg.de Inhaltsverzeichnis 4. Computerarchitektur........................235

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

BLIT2008-Board. Uwe Berger

BLIT2008-Board. Uwe Berger 11/2008 (BLIT) 2 Inhalt Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten Prinzipielles zur Programmierung BLIT2008-Board 11/2008 (BLIT) 3 Was sind Mikrocontroller AVR-Mikrocontroller Werkzeugkasten

Mehr

Technische Informatik

Technische Informatik examen.press Technische Informatik Band 2: Entwurf digitaler Schaltungen von Günter Kemnitz 1. Auflage Technische Informatik Kemnitz schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

1. Einleitung. Informationstechnische Systeme

1. Einleitung. Informationstechnische Systeme 1. Informationstechnische Systeme Realisierungsvarianten für HW-Komponenten Anwendung von SSI Standard-IC Anwendung von µp und MSI-/LSI-Komponenten Einsatz anwendungsspezifischer integrierter Schaltungen

Mehr

Anwenderprogrammierbare

Anwenderprogrammierbare 4. Einteilung der Programmiertechnologien Programmable logic device (PLD) Field programmable gate array (FPGA) Zusammenfassende Bewertung S. A. Huss / Folie 4-1 Einteilung der Programmiertechnologien Programmierung

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

10 Analog-Digital-Wandler

10 Analog-Digital-Wandler Digitaltechnik Kapitel 10 Seite 1 10 Analog-Digital-Wandler Inhalt: 10 ANALOG-DIGITAL-WANDLER...1 10.1 EINFÜHRUNG...1 10.2 EIGENSCHAFTEN VON AD-WANDLERN...2 10.2.1 Auflösung und Genauigkeit...2 10.2.2

Mehr

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015

Digitaltechnik. Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Digitaltechnik Prof. Dr. Sven-Hendrik Voß Wintersemester 2015 Technische Informatik (Bachelor), Semester 3 Termin 1, 05.10.2015 Seite 2 Einführung Digitaltechnik Wintersemester 2015 Inhaltsverzeichnis

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

VHDL Verhaltensmodellierung

VHDL Verhaltensmodellierung VHDL Verhaltensmodellierung Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 VHDL Verhaltensmodellierung 1/26 2008-10-20

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 B i s t a b i l e Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 1 0. 3 M o n o s t a b i l

Mehr

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel

Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 2 Der Mikrocontroller Der Mikrocontroller beinhaltet auf einem Chip einen kompletten Mikrocomputer, wie in Kapitel 1 beschrieben. Auf dem Chip sind die, ein ROM- für das Programm, ein RAM- für die variablen

Mehr

Modul 122 VBA Scribt.docx

Modul 122 VBA Scribt.docx Modul 122 VBA-Scribt 1/5 1 Entwicklungsumgebung - ALT + F11 VBA-Entwicklungsumgebung öffnen 2 Prozeduren (Sub-Prozeduren) Eine Prozedur besteht aus folgenden Bestandteilen: [Private Public] Sub subname([byval

Mehr

Einführung in VHDL. Dipl.-Ing. Franz Wolf

Einführung in VHDL. Dipl.-Ing. Franz Wolf Einführung in VHDL Literatur Digital Design and Modeling with VHDL and Synthesis Kou-Chuan Chang Wiley-IEEE Computer Society Press ISBN 0818677163 Rechnergestützter Entwurf digitaler Schaltungen Günter

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

Inhalt. 1. Mikrocontroller 2. FPGA 3. Vergleich 4. Hybride Systeme 5. Zusammenfassung 6. Quellenverzeichnis 12.01.2016

Inhalt. 1. Mikrocontroller 2. FPGA 3. Vergleich 4. Hybride Systeme 5. Zusammenfassung 6. Quellenverzeichnis 12.01.2016 Vergleich FPGA und Mikrocontroller Laura Hilleke Technische Informatik 07.01.2016 07.01.2016 Vergleich FPGA und Mikrocontroller 2 1 Aufbau Funktionsweise Programmierung 07.01.2016 Vergleich FPGA und Mikrocontroller

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Hardwarearchitekturen und Rechensysteme

Hardwarearchitekturen und Rechensysteme Lehrstuhl für Eingebettete Systeme Hardwarearchitekturen und Rechensysteme Asynchrone sequenzielle Schaltungen (asynchrone Schaltwerke) Folien zur Vorlesung Hardwarearchitekturen und Rechensysteme von

Mehr

Moderne C-Programmierung

Moderne C-Programmierung Xpert.press Moderne C-Programmierung Kompendium und Referenz Bearbeitet von Helmut Schellong 1. Auflage 2005. Buch. xii, 280 S. ISBN 978 3 540 23785 3 Format (B x L): 15,5 x 23,5 cm Weitere Fachgebiete

Mehr

Programmieren in C Teil 3: Mikrocontrollerprogrammierung

Programmieren in C Teil 3: Mikrocontrollerprogrammierung Programmieren in C Teil 3: Mikrocontrollerprogrammierung 08/30/10 Fachbereich Physik Institut für Kernphysik Bastian Löher, Martin Konrad 1 Tag 1 Hello World 08/30/10 Fachbereich Physik Institut für Kernphysik

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Speicherkomponenten - Halbleiterspeicher

Speicherkomponenten - Halbleiterspeicher MIKROPROZESSOR PROGRAMMIERUNG 4. VORLESUNG BIT LV-Nr. 439.026 SS2007 1 Ein Halbleiterspeicher dient der zeitlich begrenzten und unbegrenzten Aufbewahrung von Daten, Zuständen und Programmen in Form von

Mehr

MIKROPROZESSOR PROGRAMMIERUNG 4. VORLESUNG. LV-Nr. 439.026 SS2007 1 INSTITUT FÜR ELEKTRONIK BIT

MIKROPROZESSOR PROGRAMMIERUNG 4. VORLESUNG. LV-Nr. 439.026 SS2007 1 INSTITUT FÜR ELEKTRONIK BIT MIKROPROZESSOR PROGRAMMIERUNG 4. VORLESUNG BIT LV-Nr. 439.026 SS2007 1 Ein Halbleiterspeicher dient der zeitlich begrenzten und unbegrenzten Aufbewahrung von Daten, Zuständen und Programmen in Form von

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Digitale Schaltungstechnik. Prof. Dr. P. Fischer

Digitale Schaltungstechnik. Prof. Dr. P. Fischer Digitale Schaltungstechnik Prof. Dr. P. Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Organisatorisches Vorlesung: Termin: Mo 16:00 17:30 (Block 4) Mi 9:15 10:45 (Block 1) Ort: INF348, SR013 Dozent:

Mehr

Grundkurs Programmieren in Java

Grundkurs Programmieren in Java Grundkurs Programmieren in Java Band 1: Der Einstieg in Programmierung und Objektorientierung Bearbeitet von Dietmar Ratz, Jens Scheffler, Detlef Seese 1. Auflage 2001. Taschenbuch. 463 S. Paperback ISBN

Mehr

Programmierbare Logik mit GAL und CPLD

Programmierbare Logik mit GAL und CPLD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Programmierbare Logik mit GAL und CPLD in die Schaltungsentwicklung

Mehr

Vorlesungsziele. Mit gängigen Begriffen etwas anfangen können. In der Lage sein, die Architektur von Mikroprozessoren zu verstehen

Vorlesungsziele. Mit gängigen Begriffen etwas anfangen können. In der Lage sein, die Architektur von Mikroprozessoren zu verstehen Vorlesungsziele Mit gängigen Begriffen etwas anfangen können In der Lage sein, die Architektur von Mikroprozessoren zu verstehen Die Grundkomponenten eines Mikroprozessor - Systems und ihr Zusammenwirken

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Schulungspaket ISO 9001

Schulungspaket ISO 9001 Schulungspaket ISO 9001 PPT-Präsentationen Übungen Dokumentationsvorlagen Bearbeitet von Jens Harmeier 1. Auflage 2014. Onlineprodukt. ISBN 978 3 8111 6740 7 Wirtschaft > Management > Qualitätsmanagement

Mehr

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut.

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut. EloTrain - Stecksystem Stecksysteme sind robuste, modulare Elektrotechnik-Baukastensysteme. Steckbausteine mit elektronischen Bauelementen werden auf Basisplatten zu Schaltungen zusammengesetzt und ermöglichen

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm

Der Design- und Verifizierungsprozess von elektronischen Schaltungen. Y Diagramm Der Design- und Verifizierungsprozess von elektronischen Schaltungen Y Diagramm Verhaltens Beschreibung Struktur Beschreibung z.b. Vout =Vin/2 Analog: Teiler Digital: Schieberegister Widerstand oder Mosfet

Mehr

Smart Energy. Von der reaktiven Kundenverwaltung zum proaktiven Kundenmanagement. Bearbeitet von Christian Aichele

Smart Energy. Von der reaktiven Kundenverwaltung zum proaktiven Kundenmanagement. Bearbeitet von Christian Aichele Smart Energy Von der reaktiven Kundenverwaltung zum proaktiven Kundenmanagement Bearbeitet von Christian Aichele 1. Auflage 2012. Taschenbuch. xxiii, 273 S. Paperback ISBN 978 3 8348 1570 5 Format (B x

Mehr

Funktioneller Aufbau digitaler Rechenanlagen

Funktioneller Aufbau digitaler Rechenanlagen Heinz Sehe eher Funktioneller Aufbau digitaler Rechenanlagen Mit 178 Abbildungen Technische Hochschule Darrrssindt FACHBEREICH (NPORMATiK BJ_B_L I O T M E K Inventur-Nr.:_ Sachgebiete:.. Standort: Springer-Verlag

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

C CMOS 167 CMOS-Glieder 168, 303, 304, 305, 308 charakteristische Gleichungen 218 computergesteuerte Testgeräte 44 COS-MOS 167 Current Mode Logic 157

C CMOS 167 CMOS-Glieder 168, 303, 304, 305, 308 charakteristische Gleichungen 218 computergesteuerte Testgeräte 44 COS-MOS 167 Current Mode Logic 157 Stichwortverzeichnis 1-Bit-Komparator 375 1-Bit-Multiplizierer 478 1-Bit-zu-4-Bit-Demultiplexer 370 1-Chip-Mikrocomputer 505 2 x 4-Bit-zu-4-Bit-Datenselektor 368 2-Bit-Adreßdekodierer 373 3-Bit-Komparator

Mehr

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse

Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Einführung in Computer Microsystems 8. Speicher, PLLs, Busse Prof. Dr.-Ing. Sorin A. Huss Fachbereich Informatik Integrierte Schaltungen und Systeme SS 2009 Integrierte Schaltungen und Systeme Einführung

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

Qualitätsmanagement - Lehrbuch für Studium und Praxis

Qualitätsmanagement - Lehrbuch für Studium und Praxis Qualitätsmanagement - Lehrbuch für Studium und Praxis Bearbeitet von Joachim Herrmann, Holger Fritz 1. Auflage 2011. Buch. XII, 319 S. Hardcover ISBN 978 3 446 42580 4 Format (B x L): 20 x 240,5 cm Gewicht:

Mehr

MicroRNA Interference Technologies

MicroRNA Interference Technologies MicroRNA Interference Technologies Bearbeitet von Zhiguo Wang 1. Auflage 2009. Buch. xii, 194 S. Hardcover ISBN 978 3 642 00488 9 Format (B x L): 15,5 x 23,5 cm Gewicht: 517 g Weitere Fachgebiete > Chemie,

Mehr

Technische Informatik. Der VON NEUMANN Computer

Technische Informatik. Der VON NEUMANN Computer Technische Informatik Der VON NEUMANN Computer Inhalt! Prinzipieller Aufbau! Schaltkreise! Schaltnetze und Schaltwerke! Rechenwerk! Arbeitsspeicher! Steuerwerk - Programmausführung! Periphere Geräte! Abstraktionsstufen

Mehr

Repräsentation von Daten: Binär-, Oktal- u. Hexadezimalcodierung von ganzen und rationalen Zahlen

Repräsentation von Daten: Binär-, Oktal- u. Hexadezimalcodierung von ganzen und rationalen Zahlen Großübung 1: Zahlensysteme Repräsentation von Daten: Binär-, Oktal- u. Hexadezimalcodierung von ganzen und rationalen Zahlen Lehrender: Dr. Klaus Richter, Institut für Informatik; E-Mail: richter@informatik.tu-freiberg.de

Mehr

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0

AND OR NOT NAND NOR XNOR XOR A B Y 0 0 1 0 1 1 1 0 1 1 1 0 1. Grundlagen Binär: Es existieren nur zwei definierte Zustände (0, 1), (L, H), (0V, 5V), Redundanz: Anzahl notwendige Bitstellen, um Zustände zu kodieren: Z = 2n (n=anzahl Bits) Kontinuierlich Diskret

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Tutorial. Microcontroller. Grundlagen µc. Kapitel 1

Tutorial. Microcontroller. Grundlagen µc. Kapitel 1 Microcontroller Kapitel 1 Grundlagen µc µc Inhaltsverzeichnis 1 - Vorwort Seite 2 2 - Prinzipieller Programmablauf Seite 4 3 - ISR Interrupt Service Routine Seite 5 4 - Compiler Seite 7 5 - ATMega8 Seite

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

Einführung in die Welt der Microcontroller

Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Einführung in die Welt der Microcontroller Übersicht Microcontroller Schaltungen Sonstiges Inhaltsverzeichnis 1 Übersicht Möglichkeiten Einsatz 2 Microcontroller

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

11 Digitale Signalumsetzung

11 Digitale Signalumsetzung 11.1 Logische Grundfunktionen 11.1.1 Boole sche Algebra C = A B C = A + B C = A Dual (binär) Dezimal Oktal Hexadezimal 000 000 = 0000 0 0 0 000 001 = 0001 1 1 1 000 010 = 0010 2 2 2 000 011 = 0011 3 3

Mehr

Autonome Mobile Systeme. Dr. Stefan Enderle

Autonome Mobile Systeme. Dr. Stefan Enderle Autonome Mobile Systeme Dr. Stefan Enderle 2. Mikrocontroller Einleitung Unterschied Controller / Prozessor: Speicher (RAM, Flash, Eprom) intern Viele I/Os (Digital, Analog) Bus-Unterstützung (Seriell,

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Daten. Wichtige Informatik-Prinzipien. Informatik-Prinzipien Analoge & digitale Daten Zahlensysteme Zeichen-Codes Datensicherheit

Daten. Wichtige Informatik-Prinzipien. Informatik-Prinzipien Analoge & digitale Daten Zahlensysteme Zeichen-Codes Datensicherheit Daten Informatik-Prinzipien Analoge & digitale Daten Zahlensysteme Zeichen-Codes Datensicherheit Datenschutz www.allgemeinbildung.ch - 2.03.2009 Wichtige Informatik-Prinzipien () Digital-Prinzip Daten

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1 IT für Führungskräfte Zentraleinheiten 11.04.2002 Gruppe 2 - CPU 1 CPU DAS TEAM CPU heißt Central Processing Unit! Björn Heppner (Folien 1-4, 15-20, Rollenspielpräsentation 1-4) Harald Grabner (Folien

Mehr