Rekonfigurierbare Prozessoren für die Sicherungsschicht in Mobilfunksystemen

Größe: px
Ab Seite anzeigen:

Download "Rekonfigurierbare Prozessoren für die Sicherungsschicht in Mobilfunksystemen"

Transkript

1 Rekonfigurierbare Prozessoren für die Sicherungsschicht in Mobilfunksystemen Dipl.-Ing. Thilo Pionteck Professor Dr. Dr. h. c. mult. Manfred Glesner Karlstrasse Darmstadt

2 Übersicht Motivation Dynamisch rekonfigurierbare Funktionseinheit für Prozessoren Ablaufsteuerung / Prozessorintegration Zusammenfassung / Ausblick

3 Motivation Änderungen in WLAN-Systemen häufig auf der Sicherungsschicht (Medium Access Control Layer) Beispiele: IEEE 02.11e/f/h/i Aufgaben der Sicherungsschicht bei WLANs Powermanagement Flusskontrolle Kollisionserkennung Steuerung des Kanalzugriffes Quality of Service Verschlüsselung Fehlerkorrektur Software Software / Hardware Hardware Standardrealisierung der Sicherungsschicht Software Benötigt hohe Taktraten Hoher Leistungsverbrauch Software + Hardwarebeschleuniger Mangelnde Flexibilität Sobald sich Standards ändern ist ein Redesign erforderlich

4 Motivation Entwurfsziele: Lösung speziell für den Bereich drahtloser Netzwerke (WLANs) Hohe Stückzahlen Einsatz von ASICs prinzipiell sinnvoll Flexible Hardwarelösung für rechenintensive Anwendungen in der Sicherungsschicht Verschlüsselung (Advanced Encryption Standard) Fehlererkennung (Cyclic Redundancy Check) Fehlerkorrektur (Reed Solomon) Keine Realisierung einer rekonfigurierbaren Architektur auf einem FPGA Erweiterung eines ASIC-Entwurfes um rekonfigurierbare Komponenten Geringer Hardwareoverhead im Vergleich zu einer reinen ASIC-Lösung Funktionsspezifische dynamich rekonfigurierbare Architektur Wiederverwendung von Hardwarekomponenten für kontrollflussdominierte Aufgaben der Sicherungsschicht

5 Motivation Einbettungsvarianten rekonfigurierbarer Architekturen in Prozessorumgebungen Peripheriebus-Kopplung Anbindung über den I/O-Bus des Prozessorsystems Vergleichbar mit der Anbindung eines Prozessors in Multiprozessorensystemen Hohe Kommunikationskosten / modularer Aufbau Prozessorbus-Kopplung Vergleichbar mit der Kopplung zwischen Prozessor und Koprozessor Geringe Kommunikationskosten Anpassung der rekonfigurierbaren Architektur an einen Prozessor Datenpfadkopplung / Rekonfigurierbare Funktionseinheit Minimale Kommunikationskosten Hardwareunterstützung auch kleinerer Teilaufgaben Hoher Entwicklungsaufwand Ggf. Problem der Geschwindigkeitslücke zwischen Prozessor und RFU

6 Motivation Einbettungsvarianten rekonfigurierbarer Architekturen in Prozessorumgebungen Peripheriebus-Kopplung Anbindung über den I/O-Bus des Prozessorsystems Vergleichbar mit der Anbindung eines Prozessors in Multiprozessorensystemen Hohe Kommunikationskosten / modularer Aufbau Prozessorbus-Kopplung Vergleichbar mit der Kopplung zwischen Prozessor und Koprozessor Geringe Kommunikationskosten Anpassung der rekonfigurierbaren Architektur an einen Prozessor Datenpfadkopplung / Rekonfigurierbare Funktionseinheit Minimale Kommunikationskosten Hardwareunterstützung auch kleinerer Teilaufgaben Hoher Entwicklungsaufwand Ggf. Problem der Geschwindigkeitslücke zwischen Prozessor und RFU

7 Übersicht Motivation Dynamisch rekonfigurierbare Funktionseinheit für Prozessoren Ablaufsteuerung / Prozessorintegration Zusammenfassung / Ausblick

8 Rekonfigurierbare Funktionseinheit Funktionsspezifiasche rekonfigurierbare Funktionseinheit Aufgaben Verschlüsselung (AES) Fehlererkennung (CRC) Fehlerkorrektur (Reed Solomon) Unterstützung der Rekonfiguration zur Laufzeit Geringer Hardwareoverhead Autonome Bearbeitung komplexer Aufgaben Hohe Ressourcenauslastung Entlastung des Prozessors Lokaler Konfigurationsspeicher Direkter Zugriff auf Datenspeicher Hardwareunterstützung auf für kleine Teilaufgaben Direkter Zugriff des Prozessors auf alle Speicherkomponenten und arithmetischen Einheiten der rekonfigurierbaren Funktionseinheit

9 Rekonfigurierbare Funktionseinheit Aufbau der RFU (ohne Rekonfigurationskontrolle) Unterteilung in Arithmetische Ressourcen Speicherkomponenten Spezielle Module zur Verschlüsselung und Fehlerkorrektur to memory address data Memory Controler input a Error Correction Module LUT Module output input b Common Resources Security Module

10 Rekonfigurierbare Funktionseinheit Error Correction Module to memory address data Memory Controler from previous cell n-1 M11 0 input add_n σ x 0 M12 Reg2_n FF add_n 0 M13 Reg1_n FF input a Error Correction Module LUT Module output input b Common Resources M14 to next cell n+1 α n 1 quot M17 x α G n 1 enc_input 0 LUT Module Reg2_n from Input Module cell n Cell 1 Cell 2 Cell 16 to Abort Module to Output Module Security Module Block A LUT input a input b ECM Input Module Block A Abort Module Output Module to tun control output Syndrome calculation, RS encoding Euclid s algorithm, chien search Block B Block B from Input Module Cell 1 Cell 2 Cell 9 Common Resources Common Resources Common Resources to Output Module ω n 1 M21 Reg3_n FF crc n 1 σ n 1 M25 β n 1 n 1 β z α quot M22 from previous cell n- 1 Forney algorithm CRC encoding/decoding Euclid s algorithm, chien search M24 Reg4_n FF 0 M23 Reg5_n FF to next cell n+1

11 Rekonfigurierbare Funktionseinheit Security Module Gleicher Datenpfad für Verschlüsselung und Entschlüsselung to memory address data Memory Controler Error Correction Module Unterstützung verschiedener Schlüssellängen input a LUT Module output Kompromiss zwischen Hardwareaufwand und Performance input b Common Resources Datenpfadbreite 32 Bit Security Module Möglichkeit des Pipelinings MUX5 Signal selection for encryption MixColMulti 4 x bit multiplier GF(256) 4 x bit multiplier GF(256) MUX3 MUX1 output input a Add RK MUX2 input b Key Schedule SubByte, Table Lookup ShiftRow forwarding logic ShiftRow Register

12 Rekonfigurierbare Funktionseinheit Advanced Encryption Standard (AES) Basiert auf Rijndael s symmetric block chiper Algorithmus Datenlänge von 12 Bits Schlüssellänge 12, 192, 256 Bits Input key expansion first round AddRound Key SubBytes ShiftRows round key(0) round key(nr) AddRound Key InvSubBytes InvShiftRows first round for i=2 to Nr-1 AddRound Key MixColumns SubBytes ShiftRows AddRound Key round key(i) round key(nr) round key(i) round key(0) InvMixColumns AddRound Key InvSubBytes InvShiftRows AddRound Key for i= 2 to Nr-1 Output

13 Rekonfigurierbare Funktionseinheit KeyExpansion On-the-fly Schlüsselgenerierung Geringer Hardwareaufwand verglichen mit anderen Ansätzen Rundenschlüssel wird in mehreren Schritten erzeugt Benötigt nur 4 SubByte-Module Verwendung der gleichen SubByte-Module für KeyExpansion und Datenverschlüsselung

14 Rekonfigurierbare Funktionseinheit SubByte Look-up Tabellen werden mit Hilfe von RAMs realisiert Gemeinsame Look-up Tabelle fur Verschlüsselung und Entschlüsselung Vorgeschlagen von C. Lu and S. Tseng: Integrated Design of AES Encrypter and Decrypter in IEEE Transaction on Information Theory Wiederverwendung des RAMs Look-up Table, 256 x bit m 1 1 bit 0 1 ( in + c) M SubByte out = in M + c InSubByte 0..7 m 2 1 bit 1 c m 1 bit 7 c ( in + c) M SubByte out = in M + c InSubByte

15 Rekonfigurierbare Funktionseinheit MixColumns (Multiplikation in GF(2 )) Realisierung der Multiplikation durch eine wiederholte Anwendung der Xtime-Operation Shift und bitweise XOR Operationen auf Byteebene Feste primitive polynomials für AES, es wurden trotzdem vollständige Multiplizierer verwendet Wiederverwendung der Multiplizierer für andere Anwendungen (RS-Codes, CRC) Generisches Xtime-Modul x Bit Multiplizierer kann in zwei 4x Multiplizierer aufgespalten werden Anwendung: Verschlüsselung a(x) a7 a6 a5 a4 a3 a2 a1 a0 a2 primitive polynomial p mux 1 p7 p6 p5 & & & a1 b 1 xt 1 xt 2 xt 3 xt 4 xt 5 xt 6 xt 7 0 p4 p3 p2 & & & b(0) b(1) b(2) b(3) b(4) b(5) b(6) b(7) p1 & r7 r6 r5 r4 r3 r2 r1 r0 genxtime( a(x) ) a 1 b(3..0) a 2 b(7..4) a b

16 Rekonfigurierbare Funktionseinheit Pipelining Hohe Auslastung aller Komponenten Dynamische Rekonfiguration Beispiel: Verschlüsselung mit einer Schlüssellänge von 12 Bits AES 12 AES 192 AES 256 Encryption Decryption round cycle AddRKey SubByte ShiftRow input / MixCol 1 in out output 0 1 S1 S1, K1 2 S2 S1 S2, K2 3 S3 S2 S1 S3, K3 4 S4 S3 S2 S4, K4 5 S4 S3 i = 1 to * i K1 S4 S1 S * i S1 S2 S * i S2 S1 S3 S * i S3 S2 S1 S4 S * i S4 S3 S * i S4 S K1 S4 61 S1 S1 S1 62 S2 S2 S2 63 S3 S3 S3 64 S4 S4 S4

17 Übersicht Motivation Dynamisch rekonfigurierbare Funktionseinheit für Prozessoren Ablaufsteuerung / Prozessorintegration Zusammenfassung / Ausblick

18 Ablaufsteuerung Entwurfsziele: Allgemeine Konfigurationssteuerung für dynamisch rekonfigurierbare Funktionseinheiten Einfache Kontrolllogik Rekonfiguration zur Laufzeit Effiziente Speicherung der Konfigurationsdaten Minimaler Rekonfigurationsoverhead Selbständige Kontrolle der Rekonfiguration Autonome Ausführung komplexer Operationen Sequenz von Konfigurationen Einfache Integration in einen Prozessor mit Befehlspipeline Pipelinesteuerung des Prozessors soll nicht verändert werden

19 Ablaufsteuerung Kontrolllogik Config_vector = Tab1[i][2..0]&Tab_Var[tab_select][Tab1][7..3]]&Tab_Const[tab_select]

20 Ablaufsteuerung Entwursziele: Selbständige Ausführung komplexer Berechnungen Eigenschaften: Single-Cycle Operationen Zuweisung eines Konfigurationsvektors an die RFU durch Auswahl eines Eintrages in Tabelle 1 Vergleichbar zu den Programmiermodellen anderer Architekturen Multi-Cycle Operationen Ausführung einer beliebig langen Sequenz von Rekonfigurationen Run unit inkrementiert jeden Takt den Zeiger auf die Tabelle 1 Schleifen / Sprünge Tabelle der konstanten Konfigurationsdaten kann zur Speicherung von Sprungadressen oder der Anzahl von Schleifendurchläufen verwendet werden. Kodierung von Sprüngen/Schleifen durch zusätzliche Bits in Tabelle 1

21 Prozessorintegration Prozessormodell 32 Bit RISC-Prozessor mit einer fünfstufigen Befehlspipeline (in Anlehnung an die DLX-Architektur) Pipelinesteuerung soll durch die RFU nicht beeinflusst werden Befehlssatzerweiterung Konfigurationsbefehl Aktiviert die program unit. Konfigurationsdaten werden autonom aus einem externen Speicher in die Konfigurationstabellen geladen Single-Cycle Befehl Spezifiziert zwei Quell- und ein Zielregister. Zusätzlich wird der Konfigurationsvektor bestimmt (Tabelle 1) Multi-Cycle Befehl RU table # words to start addr start address ROM sel. select load table dest src 1 src 2 t start address table src 2 src 1 # instructions t start address table Spezifiziert zwei Quellregister, die Anzahl der Operationen und den ersten Konfigurationsvektor

22 Prozessorintegration Pipelineintegration - Nur single-cycle Befehle können Ergebnisse in die Registerbänke schreiben - Ergebnis eines multi-cycle Befehls muss mit Hilfe eines single-cycle Befehls abgeholt werden multi-cycle operation 4 cycles RFU ALU ALU ALU IF ID EX EX EX IF ID EX MEM WB EX MEM WB IF ID EX MEM WB IF ID EX MEM WB pipiline conflict multi-cycle operation 5 cycles RFU ALU IF ID EX EX EX EX IF ID EX MEM WB EX single-cycle operation Receive result of multi-cycle operation ALU ALU ALU RFU ALU IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB

23 Prozessorintegration Beispielimplementierung: DLX-Prozessor IF ID EX MEM WB Instruction Decode CTRL CTRL CTRL RFU Control Config. Tables DM extern IM / CM extern PC 4 IWord PC IX REGISTERS reg a reg b imm PC dest RFU IU PSW OUT IN res reg PC dest 31 mem reg dest 0

24 Prozessorintegration Synthese 0.25µm 1P5M CMOS Standardzellenprozess Verwendung von RAM-Macros für größere Speicherblöcke (Konfigurationstabellen) Geringer Hardwareoverhead von nur 6.5% (RFU Kontrolllogik + Konfigurationstabellen) Performanz Datenraten aktueller WLANs werden problemlos erreicht Hohe Verfügbarkeit der ALU Hohe Ressourcenauslastung Maximale Taktrate: 100 MHz Operation Throughput RFU ALU Module/Unit Chip Area Critical Path Encrypt Mbps 95% % Basic CPU mm ns Encrypt Mbps 95% 90% RFU mm 2.20 ns Encrypt Mbps 96% 91% ECM mm ns Decrypt Mbps 95% 91% AES mm ns Decrypt Mbps 95% 91% LUT mm ns Decrypt Mbps 96% 92% RFU Control mm ns RS(255,239) enc 736 Mbps 99% 62% Overall Design mm ns RS(255,239) dec 373 Mbps 95% 71% CRC enc/dec 2512 Mbps 69% 51%

25 Prozessorintegration Integration in Leon2: Prototyping auf einem Virtex2 FPGA Geschwindigkeitssteierung um Faktor 20 (CRC) Slices Slice Flip-Flops 4 input LUTs Block Ram Ratio LEON2 to LEON2/RFU 37,52% 3,37% 37,9% 50,00% LEON2/RFU LEON2 Resource Available Used Ratio Used Ratio Slices ,00% ,96% Slice Flip-Flops ,15% 164 7,66% 4 input LUTs ,9% 613 2,54% Block RAM ,57% 14,29%

26 Übersicht Motivation Dynamisch rekonfigurierbare Funktionseinheit für Prozessoren Ablaufsteuerung / Prozessorintegration Zusammenfassung / Ausblick

27 Zusammenfassung / Ausblick Entwicklung einer dynamisch rekonfigurierbaren Funktionseinheit zur Beschleunigung von rechenintensiven Anwendungen in der Sicherungsschicht von WLANs Integration unabhängig vom Prozessormodell Geringer Hardwareoverhead Ausblick Realisierung der IEEE 02.11a Sicherungsschicht in Software und mit Hilfe der RFU Performance Leistungsverbrauch Aufbau eines Prototypen / Ansteuerung des digitalen Basisbandes Echtzeitbedingungen Basisbandchip von Infineon Ableitung von verallgemeinerten Entwurfmethoden und metriken

28 Publikationen Pionteck, Thilo, Thorsten Staake, Thorsten Stiefmeier, Lukusa D. Kabulepa, Manfred Glesner: Design of a Reconfigurable AES Encryption/Decryption Engine for Mobile Terminals. In Proceedings of the IEEE International Symposium on Circuits and Systems, May Glesner, Manfred, Thomas Hollstein, Leandro Soares Indrusiak, Peter Zipf, Thilo Pionteck, Mihail Petrov, Heiko Zimmer, Tudor Murgan: Reconfigurable Platforms for Ubiquitous Computing. In Proceedings of the first Conference on Computing Frontiers, Pionteck, Thilo, Thomas Stiefmeier, Thorsten Staakte, Lukusa D. Kabulepa und Manfred Glesner: Integration dynamisch rekonfigurierbarer Funktionseinheiten in Prozessoren. In: Organic and Pervasive Computing, GI-Edition Lecture Notes in Informatics (LNI), März Pionteck, Thilo, Thorsten Staake, Thomas Stiefmeier, Lukusa D. Kabulepa, and Manfred Glesner: On the Design of a Function-Specific Reconfigurable Hardware Accelerator for the MAC-Layer in WLANs. In Proceeding of the 2004 ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (FPGA), Pionteck, Thilo, Lukusa D. Kabulepa, Clemens Schlachta, and Manfred Glesner: Reconfiguration Requirements for High Speed Wireless Communicatio Systems. Proceedings of IEEE International Conference on Field-Programmable Technology December Pionteck, Thilo, Thomas Stiefmeier, Thorsten R. Staake, and Manfred Glesner: A Dynamically Reconfigurable Function-Unit for Error Detection and Correction in Mobile Terminals. In 14th International Conference on Field-Programmable Logic and Applications (FPL), 2004.

29 Fragen?

Rekonfigurierbare Hardwareplattformen für die Sicherungsschicht in Mobilfunksystemen

Rekonfigurierbare Hardwareplattformen für die Sicherungsschicht in Mobilfunksystemen Rekonfigurierbare Hardwareplattformen für die Sicherungsschicht in Mobilfunksystemen Dipl.-Ing. Thilo Pionteck Professor Dr. Dr. h. c. mult. Manfred Glesner Technische Universität Darmstadt Institut für

Mehr

HW/SW Codesign 5 - Performance

HW/SW Codesign 5 - Performance HW/SW Codesign 5 - Performance Martin Lechner e1026059 Computer Technology /29 Inhalt Was bedeutet Performance? Methoden zur Steigerung der Performance Einfluss der Kommunikation Hardware vs. Software

Mehr

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA

Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Realisierung einer 32'768-Punkt-FFT für 2 GBytes/s Datenrate auf einem FPGA Mentor User Conference 2004 - Würzburg Michael Pichler, m.pichler@zma.ch Dino Zardet, d.zardet@zma.ch Prof. Karl Schenk, k.schenk@zma.ch

Mehr

Das Prinzip an einem alltäglichen Beispiel

Das Prinzip an einem alltäglichen Beispiel 3.2 Pipelining Ziel: Performanzsteigerung é Prinzip der Fließbandverarbeitung é Probleme bei Fließbandverarbeitung BB TI I 3.2/1 Das Prinzip an einem alltäglichen Beispiel é Sie kommen aus dem Urlaub und

Mehr

Emulation und Rapid Prototyping. Hw-Sw-Co-Design

Emulation und Rapid Prototyping. Hw-Sw-Co-Design Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

Emulation und Rapid Prototyping

Emulation und Rapid Prototyping Emulation und Rapid Prototyping Hw-Sw-Co-Design Simulation von komplexen ICs Design level Description language Primitives Simulation time (instructions/cycle) Algorithm HLL Instruction sets 10-100 Architecture

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Johann Wolfgang Goethe-Universität

Johann Wolfgang Goethe-Universität Flynn sche Klassifikation SISD (single instruction, single data stream): IS IS CU PU DS MM Mono (Mikro-)prozessoren CU: Control Unit SM: Shared Memory PU: Processor Unit IS: Instruction Stream MM: Memory

Mehr

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme

Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme Entwicklung von Partitionierungsstrategien im Entwurf dynamisch rekonfigurierbarer Systeme R. Merker, Technische Universität Dresden, Fakultät ET und IT J. Kelber, Fachhochschule Schmalkalden, ET Gliederung

Mehr

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm

Hybride Apps DPR und Android auf dem Xilinx ZYNQ. Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Hybride Apps DPR und Android auf dem Xilinx ZYNQ Endric Schubert, Missing Link Electronics Fabian Zentner, Univ. Ulm Konvergenz der Rechenplattformen Processing System Memory Interfaces 7 Series Programmable

Mehr

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung

Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Datenflussrechnen mit FPGAs für die biomedizinische Bildverarbeitung Frederik Grüll, Udo Kebschull Infrastruktur und Rechnersysteme in der Informationsverarbeitung Goethe-Universität Frankfurt ZKI-Frühjahrstagung

Mehr

Die Mikroprogrammebene eines Rechners

Die Mikroprogrammebene eines Rechners Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten, z.b. Befehl holen Befehl dekodieren Operanden holen etc.

Mehr

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren

FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren FlexPath - Flexible, Dynamisch Rekonfigurierbare Verarbeitungspfade in Netzwerkprozessoren Dr. Thomas Wild Rainer Ohlendorf Michael Meitinger Prof. Dr. Andreas Herkersdorf Lehrstuhl für Theresienstr. 90

Mehr

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform

Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Automatisierung mit Hilfe einer rekonfigurierbaren FPGA-Hardwareplattform Prof. Dr.-.-Ing.. Frank Kesel Fachhochschule Pforzheim Übersicht Vom Algorithmus zum Chip High-Level Synthese Anwendungsbeispiel

Mehr

Vortrag zur Diplomarbeit

Vortrag zur Diplomarbeit Fakultät Informatik Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Vortrag zur Diplomarbeit Entwurf und Implementierung eines zuverlässigen verbindungsorientierten Transportprotokolls für

Mehr

Computer-Architektur Ein Überblick

Computer-Architektur Ein Überblick Computer-Architektur Ein Überblick Johann Blieberger Institut für Rechnergestützte Automation Computer-Architektur Ein Überblick p.1/27 Computer-Aufbau: Motherboard Computer-Architektur Ein Überblick p.2/27

Mehr

SECOM: Sichere Online Verschlüsselung für ISDN-Geräte

SECOM: Sichere Online Verschlüsselung für ISDN-Geräte SECOM: Sichere Online Verschlüsselung für ISDN-Geräte Mathias Schmalisch Hagen Ploog Dirk Timmermann Universität Rostock Übersicht Laufende rbeiten Motivation Kryptographie Implementierung Zusammenfassung

Mehr

Übersicht aktueller heterogener FPGA-SOCs

Übersicht aktueller heterogener FPGA-SOCs Fakultät Informatik, Institut für Technische Informatik, Professur VLSI-Entwurfssysteme, Diagnostik und Architektur Übersicht aktueller heterogener FPGA-SOCs Vortrag zum Lehrstuhlseminar Tilo Zschau tilo.zschau@mailbox.tu-dresden.de

Mehr

ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen

ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen ReCoNets Entwurfsmethodik für eingebettete Systeme bestehend aus kleinen Netzwerken hardwarerekonfigurierbarer Knoten und -verbindungen C. Bobda, Ch. Haubelt, D. Koch, T. Streichert, Prof. Dr.-Ing. J.

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Advanced Encryption Standard. Copyright Stefan Dahler 20. Februar 2010 Version 2.0

Advanced Encryption Standard. Copyright Stefan Dahler 20. Februar 2010 Version 2.0 Advanced Encryption Standard Copyright Stefan Dahler 20. Februar 2010 Version 2.0 Vorwort Diese Präsentation erläutert den Algorithmus AES auf einfachste Art. Mit Hilfe des Wissenschaftlichen Rechners

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Technische Informatik 1

Technische Informatik 1 Technische Informatik 1 4 Prozessor Einzeltaktimplementierung Lothar Thiele Computer Engineering and Networks Laboratory Vorgehensweise 4 2 Prinzipieller Aufbau Datenpfad: Verarbeitung und Transport von

Mehr

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich

Modul A. Modul B. Bisheriger Ansatz für dynamisch und partiell rekonfigurierbare Systeme. Slot 0 Slot 1. Prozessor. Dynamischer Bereich DFG Mini Workshop Device Treiber für rekonfigurierbare Rechensysteme HW-ICAP API zur Anwendung der Read-, Modify-, Writeback-Methode für Xilinx Virtex-II FPGAs 8. 9. Dezember TU München Michael Hübner

Mehr

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1

2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 2008 Jiri Spale, Programmierung in eingebetteten Systemen 1 NetX - Einführung 2008 Jiri Spale, Programmierung in eingebetteten Systemen 2 NetX is... a highly integrated network controller with a new system

Mehr

AES. Jens Kubieziel jens@kubieziel.de. 07. Dezember 2009. Friedrich-Schiller-Universität Jena Fakultät für Mathem atik und Informatik

AES. Jens Kubieziel jens@kubieziel.de. 07. Dezember 2009. Friedrich-Schiller-Universität Jena Fakultät für Mathem atik und Informatik Angriffe gegen Jens Kubieziel jens@kubieziel.de Friedrich-Schiller-Universität Jena Fakultät für Mathem atik und Informatik 07. Dezember 2009 Angriffe gegen Outline 1 Zur Geschichte 2 3 Angriffe gegen

Mehr

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit)

Mikroprozessor bzw. CPU (Central Processing. - Steuerwerk (Control Unit) - Rechenwerk bzw. ALU (Arithmetic Logic Unit) Der Demo-Computer besitzt einen 4Bit-Mikroprozessor. Er kann entsprechend Wörter mit einer Breite von 4 Bits in einem Schritt verarbeiten. Die einzelnen Schritte der Abarbeitung werden durch Lampen visualisiert.

Mehr

Samsungs Exynos 5 Dual

Samsungs Exynos 5 Dual Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Samsungs Exynos 5 Dual Candy Lohse Dresden, 12.12.12 Gliederung 1. Motivation und

Mehr

Rapid Prototyping für Echtzeitsysteme: Tools, Scheduling Algorithmen und Hardware-Scheduling- Support

Rapid Prototyping für Echtzeitsysteme: Tools, Scheduling Algorithmen und Hardware-Scheduling- Support Rapid Prototyping für Echtzeitsysteme: Tools, Scheduling Algorithmen und Hardware-Scheduling- Support Jens Hildebrandt, Dirk Timmermann Universität Rostock Institut für Angewandte Mikroelektronik und Datentechnik

Mehr

Mikrocontroller Grundlagen. Markus Koch April 2011

Mikrocontroller Grundlagen. Markus Koch April 2011 Mikrocontroller Grundlagen Markus Koch April 2011 Übersicht Was ist ein Mikrocontroller Aufbau (CPU/RAM/ROM/Takt/Peripherie) Unterschied zum Mikroprozessor Unterschiede der Controllerarten Unterschiede

Mehr

Hardware/Software-Codesign

Hardware/Software-Codesign Klausur zur Lehrveranstaltung Hardware/Software-Codesign Dr. Christian Plessl Paderborn Center for Parallel Computing Universität Paderborn 8.10.2009 Die Bearbeitungsdauer beträgt 75 Minuten. Es sind keine

Mehr

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG

Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme. Kolloquium zum Mastermodul INF-PM-FPG Fakultät Informatik, Inst. für Tech. Informatik, Prof. für VLSI-Entwurfssysteme, Diagnostik und Architektur Realisierung eines fernsteuerbaren Testcontrollers für FPGA-basierte Systeme Kolloquium zum Mastermodul

Mehr

High Definition AV Inhaltsschutz für Netzwerkübertragungen

High Definition AV Inhaltsschutz für Netzwerkübertragungen High Definition AV Inhaltsschutz für Netzwerkübertragungen Bildquelle: Tagesanzeiger High Definition Contend Protection (HDCP) HDCP Lizenzierung ist Fluch Hersteller die HDCP verschlüsselte Signale weiterverarbeiten

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel D i g i t a

Mehr

Developing Interactive Integrated. Receiver Decoders: DAB/GSM Integration

Developing Interactive Integrated. Receiver Decoders: DAB/GSM Integration Developing Interactive Integrated Wolfgang Klingenberg Robert-Bosch GmbH Hildesheim Wolfgang.Klingenberg@de.bosch.co Receiver Decoders: DAB/GSM Integration DAB-GSM-Integration.ppt 1 Overview DAB receiver

Mehr

DynaCORE: Architekturen und Rekonfigurationsmanagement

DynaCORE: Architekturen und Rekonfigurationsmanagement DynaCORE: Architekturen und Rekonfigurationsmanagement C. Albrecht, R. Koch, T. Pionteck, E. Maehle (J. Foag, R. Hagenau) Universität zu Lübeck Institut fü Direktor: Prof. Dr.-Ing. E. Maehle I T I Tübingen,

Mehr

150Mbps Micro Wireless N USB Adapter

150Mbps Micro Wireless N USB Adapter 150Mbps Micro Wireless N USB Adapter TEW-648UBM ŸAnleitung zur Schnellinstallation (1) ŸTroubleshooting (6) 1.11 1. Bevor Sie anfangen Packungsinhalt ŸTEW-648UBM ŸCD-ROM (Dienstprogramm & Bedienungsanleitung)

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream

Linux auf FPGAs. Massgeschneiderte Computersysteme. Christoph Zimmermann, Marc-André Beck. 1. März 2008. Berner Fachhochschule MedOnStream Massgeschneiderte Computersysteme Christoph Zimmermann Marc-André Beck Berner Fachhochschule MedOnStream 1. März 2008 Gliederung 1 GNU/Linux in eingebetteten Systemen Einsatzort Vorteile Distribution 2

Mehr

Rechner Architektur. Martin Gülck

Rechner Architektur. Martin Gülck Rechner Architektur Martin Gülck Grundlage Jeder Rechner wird aus einzelnen Komponenten zusammengesetzt Sie werden auf dem Mainboard zusammengefügt (dt.: Hauptplatine) Mainboard wird auch als Motherboard

Mehr

L3. Datenmanipulation

L3. Datenmanipulation L Datenmanipulation Aufbau eines Computers Prozessor, Arbeitsspeicher und system Maschinensprachen und Maschinenbefehle Beispiel einer vereinfachten Maschinensprache Ausführung des Programms und Befehlszyklus

Mehr

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010

Symmetric Multiprocessing mit einer FPGA basierten. Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Symmetric Multiprocessing mit einer FPGA basierten MPSoC Plattform Marco Kirschke INF-M3 Seminar Wintersemester 2010/2011 25. November 2010 Inhalt Motivation Vorarbeiten Ziele für die Masterarbeit Vorgehensweise

Mehr

Intel 80x86 symmetrische Multiprozessorsysteme. Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte

Intel 80x86 symmetrische Multiprozessorsysteme. Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte Intel 80x86 symmetrische Multiprozessorsysteme Eine Präsentation im Rahmen des Seminars Parallele Rechnerarchitekturen von Bernhard Witte Gliederung I. Parallel Computing Einführung II.SMP Grundlagen III.Speicherzugriff

Mehr

Digital Rockwell-Testing-Machine KB 150 R

Digital Rockwell-Testing-Machine KB 150 R Digital Rockwell-Testing-Machine KB 150 R Rockwell, ball indentation, Vickers HVT, Brinell HBT Bright LCD color display Flexible software control motorized load system with load cell, closed loop system

Mehr

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM

GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM GinLink-News Feldbus-Controller GinLink Interface 1GHz RISC CPU M-RAM High-Speed Feldbus Controller RISC-CPU, 800MHz... 1GHz Bis zu 256 MByte SDRAM Technische Daten GIN-SAM3 610736800 Schnittstellen 2

Mehr

DynaCORE-Coprozessor Coprozessor und seine NP-Anbindung

DynaCORE-Coprozessor Coprozessor und seine NP-Anbindung DynaCORE-Coprozessor Coprozessor und seine NP-Anbindung R. Koch, J. Foag,, C. Albrecht, R. Hagenau,, E. Maehle Direktor: Prof. Dr.-Ing. E. Maehle I T I Bad Driburg, 2. Juli 2004 Überblick Motivation Ansatz

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

Erstellen sicherer ASP.NET- Anwendungen

Erstellen sicherer ASP.NET- Anwendungen Erstellen sicherer ASP.NET- Anwendungen Authentifizierung, Autorisierung und sichere Kommunikation Auf der Orientierungsseite finden Sie einen Ausgangspunkt und eine vollständige Übersicht zum Erstellen

Mehr

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF

a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF ITS Teil 2: Rechnerarchitektur 1. Grundschaltungen der Digitaltechnik a. Flipflop (taktflankengesteuert) Wdh. Signalverläufe beim D-FF b. Zähler (Bsp. 4-Bit Zähler) - Eingang count wird zum Aktivieren

Mehr

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1

IT für Führungskräfte. Zentraleinheiten. 11.04.2002 Gruppe 2 - CPU 1 IT für Führungskräfte Zentraleinheiten 11.04.2002 Gruppe 2 - CPU 1 CPU DAS TEAM CPU heißt Central Processing Unit! Björn Heppner (Folien 1-4, 15-20, Rollenspielpräsentation 1-4) Harald Grabner (Folien

Mehr

Microcontroller Architectures and Examples

Microcontroller Architectures and Examples Microcontroller Architectures and Examples Thomas Basmer telefon: 0335 5625 334 fax: 0335 5625 671 e-mail: basmer [ at ] ihp-microelectronics.com web: Outline Microcontroller in general Introduction Main

Mehr

Micro Automation SIMATIC S7-1200 und LOGO! 0BA7

Micro Automation SIMATIC S7-1200 und LOGO! 0BA7 SIMATIC S7-1200 die neue modulare Kleinsteuerung Automatisierungsforum März 2012 s Micro Automation SIMATIC S7-1200 und LOGO! 0BA7 SIMATIC Controller Burkhard Kolland Promotion Rhein Main Niederlassung

Mehr

PCI VME Interface SIS1100/SIS3100

PCI VME Interface SIS1100/SIS3100 PCI VME Interface SIS1100/SIS3100 Peter Wüstner Forschungszentrum Jülich, Zentrallobor für Elektronik (ZEL) Designprinzip der im ZEL entwickelten Datenaufnahmesysteme ist es, preiswerte PC Technik mit

Mehr

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware.

Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA. Implementierung eines UDP/IP-Stacks in Hardware. Fakultät Informatik, Institut für Technische Informatik, Professur für VLSI - EDA Implementierung eines -Stacks in Hardware Dresden, Gliederung 1. Aufgabenstellung 2. Überblick 1. Allgemein 2. MAC 3. IP

Mehr

Instruktionssatz-Architektur

Instruktionssatz-Architektur Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Übersicht 1 Einleitung 2 Bestandteile der ISA 3 CISC / RISC Übersicht 1 Einleitung 2 Bestandteile

Mehr

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx Serie Technische Daten Speicher: DDR RAM 128 MB FLASH 128 MB Schnittstellen: Ethernet (LAN) RS422, RS232 (nicht EC900, EC910, EC1000, EC1010) EtherNet / IP

Mehr

FlexPath Netzwerkprozessor

FlexPath Netzwerkprozessor FlexPath Netzwerkprozessor Rainer Ohlendorf Thomas Wild Andreas Herkersdorf Prof. Dr. Andreas Herkersdorf Arcisstraße 21 80290 München http://www.lis.ei.tum.de Inhalt Kurzüberblick FlexPath Vervollständigung

Mehr

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München

Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (0) Erster funktionsfähiger programmgesteuerter Rechenautomat Z3, fertiggestellt 1941 Bild: Nachbau im Deutschen Museum München Einführung (1) Was ist ein Rechner? Maschine, die Probleme für

Mehr

Brückenkurs / Computer

Brückenkurs / Computer Brückenkurs / Computer Sebastian Stabinger IIS 23 September 2013 Sebastian Stabinger (IIS) Brückenkurs / Computer 23 September 2013 1 / 20 Content 1 Allgemeines zum Studium 2 Was ist ein Computer? 3 Geschichte

Mehr

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN

EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN EINE MODULARE TESTPLATTFORM FÜR DAS PROTOTYPING VON DRAHTLOSEN SYSTEMEN Einleitung Zunehmender Einsatz von Kurzstreckenfunk in Form drahtloser Datenkommunikation im Bereich IEEE Standard 802.15.4 - Zigbee

Mehr

Presentation of a diagnostic tool for hybrid and module testing

Presentation of a diagnostic tool for hybrid and module testing Presentation of a diagnostic tool for hybrid and module testing RWTH Aachen III. Physikalisches Institut B M.Axer, F.Beißel, C.Camps, V.Commichau, G.Flügge, K.Hangarter, J.Mnich, P.Schorn, R.Schulte, W.

Mehr

Grundlagen und Anwendungsgebiete von Chipkarten

Grundlagen und Anwendungsgebiete von Chipkarten Grundlagen und Anwendungsgebiete von Chipkarten Überblick Einleitung und Überblick Kartenarten Karten-Terminals Chipkarten-Fertigung und Design von Anwendungen Zusammenfassung Ausblick Kartenformate und

Mehr

Entwurf und Optimierung heterogener ASIP / efpga (rasip) - Architekturen

Entwurf und Optimierung heterogener ASIP / efpga (rasip) - Architekturen Entwurf und Optimierung heterogener ASIP / efpga (rasip) - Architekturen DFG SPP 1148 Zwischenkolloquium München 2008 Tobias G. Noll Bernd Neumann, Bosch, Reutlingen Torsten von Sydow, FAG Industrial Services,

Mehr

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices

LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Firmenlogo LiSARD: LabVIEW integrated Softcore Architecture for Reconfigurable Devices Programmierbarer Rechenkern für rechenintensive Echtzeitdatenverarbeitung mit PXI-RIO Dr.-Ing. Alexander Pacholik

Mehr

PA-CONTROL CANopen Fehlerliste Ab Version 5.15 Ausgabe: 06/2008 Art.-Nr.:1082211. Technische Dokumentation

PA-CONTROL CANopen Fehlerliste Ab Version 5.15 Ausgabe: 06/2008 Art.-Nr.:1082211. Technische Dokumentation Technische Dokumentation PA-CONTROL CANopen Fehlerliste Ab Version 5.15 Ausgabe: 06/2008 Art.-Nr.:1082211 IEF Werner GmbH Wendelhofstr. 6 78120 Furtwangen Tel.: 07723/925-0 Fax: 07723/925-100 www.ief-werner.de

Mehr

Kap 4. 4 Die Mikroprogrammebene eines Rechners

Kap 4. 4 Die Mikroprogrammebene eines Rechners 4 Die Mikroprogrammebene eines Rechners Das Abarbeiten eines Arbeitszyklus eines einzelnen Befehls besteht selbst wieder aus verschiedenen Schritten (Befehl holen, Befehl dekodieren, Operanden holen etc.).

Mehr

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA)

Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Advanced DAQ System Development Using NI-DAQmx and Intelligent DAQ (FPGA) Rudolf Gierlinger National Instruments, Österreich AGENDA Teil 1: Advanced NI-DAQmx Datenerfassungsmöglichkeiten Konfiguration

Mehr

Modementwicklung für ein Datenrelaissatellitensystem

Modementwicklung für ein Datenrelaissatellitensystem Modementwicklung für ein Datenrelaissatellitensystem Zoltán Katona, Anton Donner, Alessandro Del Bianco, Hartmut Brandt (DLR Institut für Kommunikation und Navigation) Michael Gräßlin, Thomas Aust, Heiko

Mehr

3.0 8051 Assembler und Hochsprachen

3.0 8051 Assembler und Hochsprachen 3.0 8051 Assembler und Hochsprachen Eine kurze Übersicht zum Ablauf einer Programmierung eines 8051 Mikrocontrollers. 3.1 Der 8051 Maschinencode Grundsätzlich akzeptiert ein 8051 Mikrocontroller als Befehle

Mehr

German English Firmware translation for T-Sinus 154 Access Point

German English Firmware translation for T-Sinus 154 Access Point German English Firmware translation for T-Sinus 154 Access Point Konfigurationsprogramm Configuration program (english translation italic type) Dieses Programm ermöglicht Ihnen Einstellungen in Ihrem Wireless

Mehr

SurefireKernel ÜBERSICHT SPEZIFIKATION. www.triadem.ch. Sicherheitskernel DATASHEET

SurefireKernel ÜBERSICHT SPEZIFIKATION. www.triadem.ch. Sicherheitskernel DATASHEET Sicherheitskernel ÜBERSICHT SurefireKernel ist ein schlanker skalierbarer nicht preemptiver Echtzeit-Kernel der für den Einsatz auf Kontrollersysteme optimiert ist. Er verfügt über eine Realtime-Überwachung

Mehr

Modelling with SystemC

Modelling with SystemC Modelling with SystemC Andrej Eisfeld May 22, 2011 1 Andrej Eisfeld Modelling with SystemC Inhaltsverzeichnis Grundlagen Die eigene CPU Synthese 2 Andrej Eisfeld Modelling with SystemC Fakten Open Source

Mehr

Prozessoren in Programmierbarer Logik

Prozessoren in Programmierbarer Logik Bahne Carstens Prozessoren in Programmierbarer Logik Inhalt Prozessoren in Programmierbarer Logik...1 Inhalt...1 Vorteile...1 Die Prozessor-Lösungen der Größe nach sortiert:...2 PicoBlaze...2 MicroBlaze...3

Mehr

Embedded OS-9 auf RISC-Prozessoren von Motorola

Embedded OS-9 auf RISC-Prozessoren von Motorola Firmenporträt BALS Werner BALS Hardware & Software Wielinger Str. 20 D-82340 Feldafing Tel.:+49 8157 900491 Fax:+49 8157 900492 email: wernerb@cube.net OS-9-Systemlösungen für embedded-applikationen OS-9-Systemportierungen

Mehr

IDS Lizenzierung für IDS und HDR. Primärserver IDS Lizenz HDR Lizenz

IDS Lizenzierung für IDS und HDR. Primärserver IDS Lizenz HDR Lizenz IDS Lizenzierung für IDS und HDR Primärserver IDS Lizenz HDR Lizenz Workgroup V7.3x oder V9.x Required Not Available Primärserver Express V10.0 Workgroup V10.0 Enterprise V7.3x, V9.x or V10.0 IDS Lizenz

Mehr

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems

TIn 1: Feedback Laboratories. Lecture 4 Data transfer. Question: What is the IP? Institut für Embedded Systems. Institut für Embedded Systems Mitglied der Zürcher Fachhochschule TIn 1: Lecture 4 Data transfer Feedback Laboratories Question: What is the IP? Why do we NEED an IP? Lecture 3: Lernziele Moving data, the why s and wherefores Moving

Mehr

Ein Computer zum Selbstbauen

Ein Computer zum Selbstbauen www. MyCPU.eu Ein Computer zum Selbstbauen von Dennis Kuschel Gliederung: 1. Was ist die MyCPU und warum existiert sie? 2. Architektur der MyCPU 3. Befehlssatz 4. Emulator 5. Software 6. Nachbau Was ist

Mehr

300Mbps Wireless Outdoor PoE Access Point/Bridge Quick Installation Guide

300Mbps Wireless Outdoor PoE Access Point/Bridge Quick Installation Guide LevelOne WAB-5120 300Mbps Wireless Outdoor PoE Access Point/Bridge Quick Installation Guide English Deutsch - 1 - Table of Content English... - 3 - Deutsch... - 9 - This guide covers only the most common

Mehr

Model-based Development of Hybrid-specific ECU Software for a Hybrid Vehicle with Compressed- Natural-Gas Engine

Model-based Development of Hybrid-specific ECU Software for a Hybrid Vehicle with Compressed- Natural-Gas Engine Model-based Development of Hybrid-specific ECU Software for a Hybrid Vehicle with Compressed- Natural-Gas Engine 5. Braunschweiger Symposium 20./21. Februar 2008 Dipl.-Ing. T. Mauk Dr. phil. nat. D. Kraft

Mehr

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1

BitRecords FPGA Modul XC6SLX25_V2.0, Mai2013 1 BitRecords FPGA Modul XCSLX FPGA Modul zur Anwendung im Hobby- und Prototypenbereich Eigenschaften: Xilinx Spartan (XCSLX-FGGC) Nutzer-IOs On-Board 0MHz Oszillator LEDs, Taster Rastermaß:. mm Maße: x mm

Mehr

Convey, Hybrid-Core Computing

Convey, Hybrid-Core Computing Convey, Hybrid-Core Computing Vortrag im Rahmen des Seminars Ausgewählte Themen in Hardwareentwurf und Optik HWS 09 Universität Mannheim Markus Müller 1 Inhalt Hybrid-Core Computing? Convey HC-1 Überblick

Mehr

Software-SPS: Software PLC: Vom Industrie-PC fähigen From industrial PCzur to leistungs high-performance Steuerung controller Zur Visualisierung und Bedienung von PCs are used in countless machines and

Mehr

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors

Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Vortrag zum Beleg Platzierung und Verdrahtung massiv-paralleler FPGA-Designs am Beispiel eines Many-Core- Prozessors Michael Lange Dresden, Gliederung 1 Aufgabenstellung 2 Voraussetzungen 3 Aufbau eines

Mehr

Übung zur Einführung in die digitale Signalverarbeitung

Übung zur Einführung in die digitale Signalverarbeitung Übung zur Einführung in die digitale Signalverarbeitung Übungseinheit 1 1 Einführung in die Entwicklungsumgebung 1.1 Code Composer Studio 1.2 Komponenten eines ausführbaren Programms 1.3 Programmausführung

Mehr

Technologietag Baugruppentest

Technologietag Baugruppentest Technologietag Baugruppentest Bit Error Rate Test (BERT) durch FPGA Embedded Instruments Thomas Wenzel, GÖPEL electronic GmbH Inhalte der Präsentation 1 2 3 Theorie des Bit Error Rate Test ChipVORX Lösung

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein:

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: 2. Client (WEP / WPA / WPA2) 2.1 Einleitung Im Folgenden wird die Konfiguration des Client Modus gezeigt. Der Access Point baut stellvertretend für die Computer im Netzwerk eine Wireless Verbindung als

Mehr

1 Einleitung zum RISC Prozessor

1 Einleitung zum RISC Prozessor 1 Einleitung zum RISC Prozessor Wesentliche Entwicklungsschritte der Computer-Architekturen [2, 3]: Familienkonzept von IBM mit System/360 (1964) und DEC mit PDP-8 (1965) eingeführt: Gleiche Hardware-Architekturen

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur ARM, x86 und ISA Prinzipien Übersicht Rudimente des ARM Assemblers Rudimente des Intel Assemblers ISA Prinzipien Grundlagen der Rechnerarchitektur Assembler 2 Rudimente

Mehr

Binärcodierung elementarer Datentypen: Darstellung negativer Zahlen

Binärcodierung elementarer Datentypen: Darstellung negativer Zahlen Binärcodierung elementarer Datentypen: Darstellung negativer Zahlen Statt positive Zahlen von 0 bis 2 n -1mit einem Bitmuster der Länge n darzustellen und arithmetische Operationen darauf auszuführen,

Mehr

Chapter 8 Ethernet-Switching. CCNA 1 version 3.0 Wolfgang Riggert,, FH Flensburg auf der Grundlage von

Chapter 8 Ethernet-Switching. CCNA 1 version 3.0 Wolfgang Riggert,, FH Flensburg auf der Grundlage von Chapter 8 Ethernet-Switching CCNA 1 version 3.0 Wolfgang Riggert,, FH Flensburg auf der Grundlage von Rick Graziani Cabrillo College Vorbemerkung Die englische Originalversion finden Sie unter : http://www.cabrillo.cc.ca.us/~rgraziani/

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein:

Folgende Voraussetzungen für die Konfiguration müssen erfüllt sein: 1. Access Point im Personal Mode (WEP / WPA / WPA2) 1.1 Einleitung Im Folgenden wird die Konfiguration des Access Point Modus gezeigt. Zur Absicherung der Daten werden die verschiedenen Verschlüsselungsalgorithmen

Mehr

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology

Computer: PC. Informationstechnik für Luft-und Raumfahrt Aerospace Information Technology Computer: PC Informationstechnik für Luft-und Raumfahrt Ab Morgen nur eingebete Systeme Aber es gibt auch PCs Na gut... dann Heute. dann haben wir es hinter uns Und nicht wenige! PCs in N Jahren Industrie

Mehr

Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur. PCI Express. Dirk Wischeropp. Dresden, 07.06.

Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur. PCI Express. Dirk Wischeropp. Dresden, 07.06. Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur PCI Express Dirk Wischeropp Dresden, 07.06.2011 Gliederung 1 Einleitung 2 Architektur 3 Layering 4 Zusammenfassung

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Kryptographische Verfahren. zur Datenübertragung im Internet. Patrick Schmid, Martin Sommer, Elvis Corbo

Kryptographische Verfahren. zur Datenübertragung im Internet. Patrick Schmid, Martin Sommer, Elvis Corbo Kryptographische Verfahren zur Datenübertragung im Internet Patrick Schmid, Martin Sommer, Elvis Corbo 1. Einführung Übersicht Grundlagen Verschlüsselungsarten Symmetrisch DES, AES Asymmetrisch RSA Hybrid

Mehr