Digitaltechnik. KV-Diagramm

Größe: px
Ab Seite anzeigen:

Download "Digitaltechnik. KV-Diagramm"

Transkript

1 KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische Verknüpfungen miteinander verarbeitet. abei gibt es drei Möglichkeiten dies darzustellen: entweder mit einem Schaltbild, mit einer Wahrheitstabelle oder mit einer Gleichung. Schaltbild: A A 1 A Wahrheitstabelle: UN-Gatter OR-Gatter Inverter 1 A A A Gleichung: A = 1 A = 1 A = ei einem UN-Gatter nimmt der Ausgang A erst dann den logischen Zustand 1 an, wenn beide ingänge ( 1 ) den Zustand 1 haben. ei einem OR-Gatter muss nur einer der ingänge den Zustand 1 annehmen ( 1), dann hat auch der Ausgang den Zustand 1. in Inverter (oder auch Negierung) invertiert das ingangssignal: Aus einer 0 macht er eine 1, aus einer 1 eine 0. Für gewöhnlich werden mehr als nur zwei Variablen (ingänge) miteinander verknüpft. ie Anzahl der möglichen Schaltzustände hängt von der Anzahl der Variablen ab. s gilt: Anzahl der Variablen Anzahl Schaltzustände =. ie asis rührt daher, weil man im binären System nur zwei Zustände kennt (0 oder 1). as bedeutet, dass man bei 4 Variablen 4 = 16 Schaltzustände hat. iese Schaltzustände sind logisch miteinander verknüpft. in eispiel (Prüfung 004/1; Aufgabe 8) soll dies einmal verdeutlichen: Gegeben ist die auf der nächsten Seite abgebildete Wertetabelle. Sie stellt den Zusammenhang zwischen den vier ingangsvariablen (A,, C und ) sowie der Ausgangsvariablen S dar. ntscheidend ist lediglich, wann der Ausgang S den Zustand 1 annimmt. Man muss also für jede Zeile, in der S den Zustand 1 hat, eine Gleichung aufstellen und all diese Gleichungen disjunktiv (OR) miteinander verknüpfen. ie einzelnen ingangsvariablen sind miteinander konjunktiv (UN) verbunden. s muss ein bestimmter Zustand für A UN für UN für C UN für gelten, damit S den Zustand 1 hat. Schreibt man alle Gleichungen für S = 1 disjunktiv verknüpft auf, so kann man diese nach den Gesetzen von de Morgan vereinfachen. Allerdings ist dies ein sehr umständliches und zeitaufwändiges Verfahren, weswegen man hier auf eine grafische Lösung zurückgreift: dem.

2 KV-0 A C S ie Gleichung für die Ausgangsvariable S lautet: S = ( A C ) ( A C ) ( A C ) ( A C ( A C ) Weil alle ingangsvariablen miteinander UN-verknüpft sind, müssen die Nullen bei A und negiert werden, damit S den Zustand 1 annimmt. ei den anderen Zeilen ist es genauso. Für das zieht man alle Zeilen (Gleichungen) heran, also auch jene, bei denen S den Zustand 0 hat. ie vier ingangsvariablen ergeben allerdings 16 Zustände, von denen in dieser Wertetabelle 5 fehlen. iese sind so genannte don t care -Terme; sie sind für die Ausgangsvariable nicht von edeutung, wohl aber für die Vereinfachungen im. ieses sieht wie folgt aus: ie mit dem * gekennzeichneten Felder sind die don t care-terme mit den Gleichungen: A C S * * * * * 0 0 * 0 ie insen und Nullen werden im dort eingetragen, wo sie auch in der Wertetabelle bei der entsprechenden ins oder Null sind. In der ersten Zeile steht eine 0 bei den ingangsvariablen A UN UN C UN. Im entspricht diese Koordinate der rechten unteren cke. ie zweite Zeile entspricht wiederum S = 0. Sie gilt, wenn A UN UN C UN als ingangsvariablen anliegen. ieses Feld liegt oberhalb der Lösung aus der ersten Zeile (rechte untere cke), weil dort die Variable A C und nicht liegt. Alle anderen Felder werden nach derselben Methode gefüllt. 1 0 In der dritten Zeile hat S den Zustand 1. as 0 gilt für die Variablen A UN UN C UN. ieses trägt man also auch in das A C A C ein. A C Feld ( )

3 KV-03 ie Vereinfachung mittels erfolgt über das Zusammenlegen mehrerer nebeneinander liegender insen. as bedeutet, man bildet inser-pakete in Form von 1er-, er-, 4er- oder 8er-Paketen; also nur Pakete zur asis :,, oder. s gibt auch 16er-Pakete, diese tauchen bei 4 Variablen nur (so gut wie) nie auf, denn das würde bedeuten, dass für jede der 16 Schaltzustände eine 1 raus kommt. as besondere beim ist: s ist eine Kugel. Man muss quasi um den Rand herum denken (vgl.: Heering / ressler / Gutekunst: lektronik für Ingenieure; Springer Verlag; 3. Auflage 1998; Kapitel 11 Grundlagen der digitalen Schaltungstechnik) as bedeutet: Alle äußeren Zeilen und Spalten liegen nebeneinander und die Pakete können übergreifend eingesetzt werden. Sie werden markiert und die (vereinfachte) Gleichung dafür aufgeschrieben. Hierbei helfen die don t care-terme: Sie können als eine 1 angesehen werden und mit in die Pakete eingehen. as ist wichtig, denn man muss so große Pakete wie nur möglich bilden, um die beste Vereinfachung zu bekommen. as ganze wird für dieses eispiel einmal durchgeführt: as erste Paket ist die 4er-Kobination in der obersten Zeile. Sie entspricht der logischen Verknüpfung. as zweite Paket ist ebenfalls eine 4er-Kombination in der Mitte: C. amit deckt man die drei insen ab. Als letztes bleibt eine ins an der Koordinate A C übrig. Sie kann nur mit der rechts benachbarten ins in ein Paket eingebracht werden. as bedeutet: ie Pakete dürfen sich untereinander überlappen. Somit lautet das letzte er 0 0 * 0 Paket: A. Man erkennt sofort, dass man eine Variable mehr braucht, um ein er-paket zu erfassen nämlich drei. aher sollte man so große Pakete wie nur möglich bilden, um die Anzahl der Variablen zu reduzieren. Um die eine ins in der obersten Zeile in ein Paket einzubeziehen, gibt es mehrere Varianten. Man könnte auch ein 4er-Paket senkrecht bilden A C (. Variante) oder ein quadratisches 4er-Paket mit den Koordinaten C (3. Variante).

4 KV * * 0. Variante 3. Variante Ganz gleich für welche Variante man sich entscheidet, es ist immer die einfachste logische Gleichung, die hierbei raus kommt. Man hat nur drei vereinfachte Pakete, die sich zwar unterscheiden, aber die Anzahl der Variablen ist die gleiche. aher kann man den Ausdruck für S wie folgt vereinfachen: ( ) ( C ) ( A ) S =. as ganze muss jetzt noch in ein Schaltbild umgezeichnet werden (siehe Schaltbilder auf Seite KV-01). Um dies relativ einfach zu gestalten, bietet es sich an, alle Leitungen der ingangsvariablen als senkrechte Linien darzustellen und die Querverbindungen zur entsprechenden Schaltung an der jeweiligen Variablen anzuknüpfen. A C 1 S ieses Schaltbild erfüllt die oben angegebene Gleichung für S.

5 KV-05 as Umsetzen der Wertetabelle in das ist ein sehr zeitaufwändiges Verfahren. Man muss in jeder Zeile den Zustand (0 oder 1) der Ausgangsvariablen mit dem Zustand der ingangsvariablen in das eintragen. s gibt hierbei einen Weg, welcher genau diesen Zeitaufwand drastisch minimiert. Man muss als erstes die binäre arstellung der ingangsvariablen in eine dezimale Schreibweise umwandeln. azu ein eispiel: In der dezimalen Schreibweise ist man an die asis 10 gebunden. Man kann jede Zahl in ihre estandteile aufschlüsseln und diese ergeben addiert die ursprüngliche Zahl. ie Zahl 375 setzt sich zusammen aus (sprich: drei Tausender plus sieben Hunderter plus fünf Zehner plus zwei iner). In einer anderen Schreibweise sieht das wie folgt aus: = ie asis ist also das dezimale System. In der binären Schreibweise ist die asis Zwei, weil es nur zwei Zustände gibt (0 oder 1). Auch hier ist die niederwertigste Zahl ganz rechts, daher beginnt man auch dort. ie Zahl 1011 in der binären Schreibweise bedeutet: = = 11. Man erweitert die Wertetabelle um die Spalte der ezimalzahlen, welche sich wie folgt ergeben: ezimal A C S Weil es vier Variablen sind, ergeben sich 16 Schaltzustände (0 bis 15). Man erkennt sofort, welche ezimalzahlen fehlen. iese sind die so genannten don t care-terme in diesem Fall, 4, 7, 1 und 14. Was man jetzt noch benötigt, ist die Übersicht der Position der jeweiligen ezimalzahl im. elässt man die äußere eschriftung des iagramms, nämlich die erste Variable in der oberen Zeile, alle anderen entgegengesetzt im Uhrzeigersinn angelegt, kann man sofort den Zustand der Variablen S in das eintragen. ie Übersicht der ezimalzahlen im sieht wie folgt aus: * 0 Man erkennt die don t care-terme an den Positionen, 4, 7, 1 und 14. Weiterhin alle insen an den (ezimal)positionen 3, 6, 9, 11 und 15. ie anderen Felder müssen zwangsläufig alle Nullen in der Wertetabelle sein: also 0, 1, 5, 8, 10 und 13.

6 Aufgabe 1: Ü-KV-01 ntwickle zu den angegebenen Wertetabellen das dazugehörige und entwickle daraus die einfachste logische Verknüpfung für die Ausgangsvariable S. Zeichne das entsprechende Schaltbild auf. a) W X Y Z S b) c) W X Y Z S A C S d) C A S

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA 1. Digitale Grundschaltungen 1.1 UND/AND-SCHALTUNG 0 0 0 1 0 0 0 1 0 1 1 1 x = a /\ b 1.2 ODER/OR-SCHALTUNG 0 0 0 0 1 1 1 0 1 1 1 1 x = a \/ b NICHT/NOT-SCHALTUNG A

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik Übung zur Vorlesung Technische Informatik I, SS03 Ergänzung Übungsblatt 1 Boole sche Algebra, Kombinatorische Logik Guenkova, Schmied, Bindhammer, Sauer {guenkova@vs., schmied@vs., bindhammer@vs., dietmar.sauer@}

Mehr

Vektoren - Basiswechsel - Matrix

Vektoren - Basiswechsel - Matrix Vektoren - asiswechsel - Matrix 1. Prinzip er Zusammenhang zwischen zwei asissystemen sollen formal eleganter durchgeführt werden. Ein Nachteil des "einfachen" Verfahrens - siehe Seite V0 - ist, dass teilweise

Mehr

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Informatik Gierhardt Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Algebra Der englische Mathematiker George Boole (1815-1864) entwickelte in seinem Buch The Laws of Thought zur systematischen

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

4. Anhang Unterschrift

4. Anhang Unterschrift Höhere Technische undes-, Lehr- und Versuchsanstalt (ULME) Graz Gösting Elektrotechnisches Laboratorium Jahrgang: 2004/05 Übungstag:... Name: Schriebl, Forjan, Schuster Gruppe:...... ufgabe: Kombinatorische

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

f ist sowohl injektiv als auch surjektiv.

f ist sowohl injektiv als auch surjektiv. Bemerkungen: Wir erinnern uns an folgende Definitionen: Eine Funktion f : U V heißt injektiv, wenn gilt: ( x, y U)[x y f(x) f(y)] Eine Funktion f : U V heißt surjektiv, wenn gilt: ( y V x U)[y = f(x)]

Mehr

Lineare Gleichungssystem

Lineare Gleichungssystem Lineare Gleichungssystem 8. Juli 07 Inhaltsverzeichnis Einleitung Der Gauß-Algorithmus 4 3 Lösbarkeit von Gleichungssystemen 6 Einleitung Wir haben uns bisher hauptsächlich mit dem Finden von Nullstellen

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

Minimierung von logischen Schaltungen

Minimierung von logischen Schaltungen Minimierung von logischen Schaltungen WAS SIND LOGISCHE SCHALTUNGEN Logische Verknüpfungszeichen: & = Logisches Und-Verknüpfung (Konjunktion). V = Logische Oder-Verknüpfung (Disjunktion). - = Nicht (Negation).

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Schaltalgebra. Prof. Metzler

Schaltalgebra. Prof. Metzler Schaltalgebra 1 Schaltalgebra (oolsche lgebra) George oole, britischer Mathematiker, 1815-1864 "The mathematical analysis of logic (lgebra zur systematischen ehandlung von Logik) 1847, 1854 1938 leitet

Mehr

Herbstsemester 6 5. Übung zur Vorlesung igitaltechnik Musterlösung Übung 5 ufgabe a) arstellung der negativen Tahlen im Zweierkomplement: nschliessende erechnung: 7 : 7 = 7 = + = 2 : 2 = 2 = + = 4 : 4

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze 82 8 Boolesche Algebra Die Boolesche Algebra ist eine Algebra der Logik, die George Boole (1815 1864) als erster entwickelt hat. Sie ist die Grundlage für den Entwurf von elektronischen Schaltungen und

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Gruppe: Teilnehmer: Vortestate: Testat:

Gruppe: Teilnehmer: Vortestate: Testat: Praktikum Hardware-Grundlagen igitale Schaltungen Gruppe: Teilnehmer: Vortestate: Testat: Vorbereitung: Vergleicher: RS-Latch: -Latch: -FF: Schieberegister: Benutzte Geräte: igitale Schaltungen 1. Überblick

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

1 Einfache diskrete, digitale Verknüpfungen

1 Einfache diskrete, digitale Verknüpfungen 1 Einfache diskrete, digitale Verknüpfungen Mit den drei Grund Gattern UND, ODER und Nicht lassen sich alle anderen Gattertypen realisieren! Q = e 1 e 1.1 AND, UND, Konjunktion 2 Die Konjunktion (lateinisch

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Magische Quadrate. Die Abbildung zeigt einen Ausschnitt aus Albrecht Dürers Kupferstich «Melancholie».

Magische Quadrate. Die Abbildung zeigt einen Ausschnitt aus Albrecht Dürers Kupferstich «Melancholie». 4 9 2 3 5 7 8 6 2 Magische Quadrate Magische Quadrate ie bbildung zeigt einen usschnitt aus lbrecht ürers Kupferstich «Melancholie». ei genauem Hinsehen erkennen Sie ein magisches Quadrat vierter Ordnung.

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig A) Vorbereitungsaufgaben: 1) Ermitteln Sie anhand der gegebenen zwei Blockschaltbilder die Schaltgleichungen und vereinfachen Sie weitmöglich! y 1 =(/(/(x 0 x 1 )/(x 0 +x 1 )))+(/(/(x 0 x 1 )+/(x 0

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Prüfung _2. Aufgabe ET2

Prüfung _2. Aufgabe ET2 ufgabe ET2 Seite-01 Hier muss als erstes der rbeitspunkt bestimmt werden, an dem die Tangente für den Differenziellen Widerstand angelegt wird. Dazu wird die Schaltung in zwei Teile unterteilt nämlich

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Allgemeingültige Aussagen

Allgemeingültige Aussagen Allgemeingültige Aussagen Definition 19 Eine (aussagenlogische) Formel p heißt allgemeingültig (oder auch eine Tautologie), falls p unter jeder Belegung wahr ist. Eine (aussagenlogische) Formel p heißt

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Ztralübung

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.5.1. - ddition und Subtraktion mittels eines binären 4 it

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

Aussagenlogik. Aussagen und Aussagenverknüpfungen

Aussagenlogik. Aussagen und Aussagenverknüpfungen Aussagenlogik Aussagen und Aussagenverknüpfungen Aussagen sind Sätze, von denen sich sinnvollerweise sagen läßt, sie seien wahr oder falsch. Jede Aussage besitzt also einen von zwei möglichen Wahrheitswerten,

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Erstellen von KV-Diagrammen. Fachschule für Mechatroniktechnik Kempten (Allgäu)

Erstellen von KV-Diagrammen. Fachschule für Mechatroniktechnik Kempten (Allgäu) Erstellen von KV-Diagrammen Zeile A 00 0 0 Eine Eingangsvariable Es wird für jede Zeile der Funktionstabelle ein Kästchen aufgezeichnet. Die Zuordnung muss dabei wie nachfolgend abgebildet erfolgen. Die

Mehr

Grundlagen der Informatik II Übungsblatt: 4, WS 17/18 mit Lösungen

Grundlagen der Informatik II Übungsblatt: 4, WS 17/18 mit Lösungen PD. Dr. Pradumn Shukla Marlon Braun Micaela Wünsche Dr. Friederike Pfeiffer-Bohnen Dr. Lukas König Institut für Angewandte Informatik und Formale Beschreibungsverfahren Grundlagen der Informatik II Übungsblatt:

Mehr

8. Tutorium Digitaltechnik und Entwurfsverfahren

8. Tutorium Digitaltechnik und Entwurfsverfahren 8. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Einführung in die Boolesche Algebra

Einführung in die Boolesche Algebra Einführung in die Boolesche Algebra Einführung in Boole' sche Algebra 1 Binäre Größe Eine Größe (eine Variable), die genau 2 Werte annehmen kann mathematisch: falsche Aussage wahre Aussage technisch: ausgeschaltet

Mehr

1 Goldener Schnitt Pascalsches Dreieck Der Binomische Lehrsatz ( ) ß mit a multipliziert. ( a+ b) 4 = a 3 +3a 2 b+3ab 2 + b 3

1 Goldener Schnitt Pascalsches Dreieck Der Binomische Lehrsatz ( ) ß mit a multipliziert. ( a+ b) 4 = a 3 +3a 2 b+3ab 2 + b 3 1 Goldener Schnitt Pascalsches Dreieck 17 1.3 Pascalsches Dreieck 1.3.1 Der Binomische Lehrsatz Aus der Schule ist Ihnen mit Sicherheit die Binomische Regel bekannt: ( ) 2 = a 2 +2ab+ b 2 a+ b Diese Regel

Mehr

Analyse logischer Schaltnetze

Analyse logischer Schaltnetze 2003, Thomas armetler Kippstufen und ähler nalyse logischer Schaltnetze nalyse logischer Schaltnetze eim usammenwirken von mindestens zwei logischen Grundschaltungen spricht man auch von einem logischen

Mehr

Tag der Mathematik 2016

Tag der Mathematik 2016 Tag der Mathematik 016 Mathematischer Wettbewerb, Klassenstufe 9 10 30. April 016, 9.00 1.00 Uhr Aufgabe 1 Der Mittelwert von 016 (nicht unbedingt verschiedenen) natürlichen Zahlen zwischen 1 und 0 16

Mehr

12 Digitale Logikschaltungen

12 Digitale Logikschaltungen 2 Digitale Logikschaltungen Die Digitaltechnik ist in allen elektronischen Geräte vorhanden (z.b. Computer, Mobiltelefone, Spielkonsolen, Taschenrechner und vieles mehr), denn diese Geräte arbeiten hauptsächlich

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1

Aussagenlogik. Formale Methoden der Informatik WiSe 2012/2013 teil 6, folie 1 Aussagenlogik Formale Methoden der Informatik WiSe 22/23 teil 6, folie Teil VI: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning, Fakultät

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

5. Probeklausur - Lösung

5. Probeklausur - Lösung EI M5 2011-12 MATHEMATIK 5. Probeklausur - Lösung 1. Aufgabe (2 Punkte) Bilde die erste Ableitung der Funktion f mit sin für reelle Zahlen x. Hier haben wir unter der Wurzel noch eine Funktion, daher benutzen

Mehr

Lösungsvorschlag zu 1. Übung

Lösungsvorschlag zu 1. Übung Prof. Frederik Armknecht Sascha Müller Daniel Mäurer Grundlagen der Informatik 3 Wintersemester 09/10 Lösungsvorschlag zu 1. Übung 1 Präsenzübungen 1.1 Schnelltest a) Welche der Aussagen treffen auf jeden

Mehr

10. Schaltungssynthese

10. Schaltungssynthese Bei der Schaltungssynthese soll ausgehend von einer Funktionsbeschreibung die Wahrheitstabelle, die Funktionsgleichung sowie eine mögliche Schaltung erstellt werden. Die Schaltungssynthese ist also die

Mehr

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit

Antwort: h = 5.70 bit Erklärung: Wahrscheinlichkeit p = 1/52, Informationsgehalt h = ld(1/p) => h = ld(52) = 5.70 bit Übung 1 Achtung: ld(x) = Logarithmus dualis: ld(x) = log(x)/log(2) = ln(x)/ln(2)! Aufgabe 1 Frage: Wie gross ist der Informationsgehalt einer zufällig aus einem Stapel von 52 Bridgekarten gezogenen Spielkarte?

Mehr

6. Minimierung Boolescher Polynome

6. Minimierung Boolescher Polynome H.J. Oberle Boolesche Algebra WiSe 2006/07 6. Minimierung Boolescher Polynome An Beispiel (5.11) c) erkennt man, dass die DNF eines Booleschen Polynoms i. Allg. ungünstig in Bezug auf die Anzahl der Auftretenden

Mehr

Formale Systeme, WS 2010/2011 Lösungen zum Übungsblatt 1

Formale Systeme, WS 2010/2011 Lösungen zum Übungsblatt 1 Karlsruher Institut für Technologie Institut für Theoretische Informatik Prof. r. B. Beckert Thorsten Bormer Formale Systeme, WS 2/2 Lösungen zum Übungsblatt ieses Blatt wurde in der Übung am 29..2 besprochen.

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

AFu-Kurs nach DJ4UF. Technik A14: Digitaltechnik. Amateurfunkgruppe der TU Berlin. Stand

AFu-Kurs nach DJ4UF. Technik A14: Digitaltechnik. Amateurfunkgruppe der TU Berlin.  Stand Technik A14: Digitaltechnik Amateurfunkgruppe der TU Berlin http://www.dk0tu.de Stand 22.02.2016 N X This work is licensed under the Creative Commons Attribution-ShareAlike 3.0 License. Amateurfunkgruppe

Mehr

Kapitel 3 - PLA und Flip-Flops

Kapitel 3 - PLA und Flip-Flops Kapitel 3 - PLA und Flip-Flops Programmable Logic Array (PLA) Die Idee eines PLAs ist, dass bei der Chipherstellung ein homogenes Feld von Transistoren erzeugt wird. Die eigentliche Funktionalität wird

Mehr

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik Physikalisches Praktikum für Vorgerückte an der ETH Zürich vorgelegt von Mattia Rigotti mrigotti@student.ethz.ch 14.02.2003 Digitale Elektronik Versuchsprotokoll 1 Inhaltverzeichnis 1. Zusammenfassung...

Mehr

Hinweise zu den Anregungen zum Nachdenken und für eigene Untersuchungen

Hinweise zu den Anregungen zum Nachdenken und für eigene Untersuchungen Heinz Klaus Strick: Mathematik ist schön, Springer-Verlag, ISBN: 978--66-579-9 Hinweise zu den Anregungen zum Nachdenken und für eigene Untersuchungen zu A.1: n 1 4 5 6 7 8 9 10 11 1 1 14 15 16 17 18 19

Mehr

Aufgabenpool zur Quereinstiegsvorbereitung Q1

Aufgabenpool zur Quereinstiegsvorbereitung Q1 Aufgabenpool zur Quereinstiegsvorbereitung Q Vereinfachen Sie nachfolgende Terme soweit wie möglich.. 6 a + 8b + 0c 4a + b c x y + z 7x + y z,8u +,4v 0,8w + 0,6u, v + w r + s t r + 6s + t. ( a + 7 + (9a

Mehr

Lehrveranstaltung: Praktikum: Rechnerorganisation Thomas Aichholzer

Lehrveranstaltung: Praktikum: Rechnerorganisation Thomas Aichholzer 1.1 Geben Sie die Hexadezimal- und Binärform der folgenden Dezimal Zahlen an. Benützen Sie dazu die Zweierkomplementdarstellung in 16-Bit. a) 1 b) 125 c) 31456 ad a) Dezimalschreibweise Binärdarstellung

Mehr

ZAHLENMAUERN UND ZAHLENDREIECKE. Korrekturen, Verbesserungsvorschläge und Anregungen bitte an herrmann bei mathematik.tu-darmstadt.

ZAHLENMAUERN UND ZAHLENDREIECKE. Korrekturen, Verbesserungsvorschläge und Anregungen bitte an herrmann bei mathematik.tu-darmstadt. ZAHLENMAUERN UN ZAHLENREIEKE HRISTIAN HERRMANN Korrekturen, Verbesserungsvorschläge und Anregungen bitte an herrmann bei mathematik.tu-darmstadt.de 1. Vorbemerkung Lösugen von Zahlenmauern und Zahlendreiecken

Mehr