Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Größe: px
Ab Seite anzeigen:

Download "Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen"

Transkript

1 SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1

2 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992 [2] PERNARDS, P..; Digitaltechnik II Hüthig, 1995 [3] SCHIFFMANN, W.; SCHMITZ, R..: Technische Informatik 1 Springer, 1995 [4] SCHIFFMANN, W.; SCHMITZ, R..: Technische Informatik 2 Springer, 1994 [5] SIEMERS, CH.; SIKORA, A.: Taschenbuch Digitaltechnik Fachbuchverlag Leipzig, 2003 [6] MÄRTIN, C. : Rechnerarchitekturen Fachbuchverlag Leipzig im Carl Hanser Verlag, 2001 [7] WUTTKE, K-D.; HENKE, K.: Schaltsysteme Pearson Studium, 2003 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 2

3 Themen: Schaltungstechnische Grundlagen Schaltnetze Schaltwerke Rechnergestützte Schaltungsentwicklung Aufbau von Prozessoren µ - Controller Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 3

4 1 Schaltungstechnische Grundlagen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 4

5 1.1 Grundfunktionen und Grundelemente Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 5

6 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 6

7 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 7

8 1.2 Zusammengesetzte Glieder NAND AND und NOT NOR OR und NOT Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 8

9 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 9

10 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 10

11 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 11

12 1.3 Schaltalgebra Schaltvariable und Schaltfunktion: Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 12

13 Algebra ist definiert durch: Wertemenge { 0, 1 } Operatoren {Λ(*), ν(+), } Rechengesetze Kommutativ Gesetz a + b = b + a a * b = b * a Assoziativ Gesetz (a + b) + c = a + (b + c) (a * b) * c = a * (b * c) Dirstributiv Gesetz a * (b + c) = (a * b) + (a * c) a + (b * c) = (a + b) * (a + c) Absorptions Gesetz a + (a * b) = a a * (a + b) = a Neutrale Elemente 0 + a = a 1 * a = a Komplementäre Elemente a + nicht a = 1 a * nicht a = 0 Daulitätsprinzip: Q erhält man durch vertauschen von UND und ODER und 0 und 1 Beispiel: Q = ( A B) (A B) Q = (A B) ( A B) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 13

14 Rechenregeln: Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 14

15 Kürzungsregeln Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 15

16 NOT, AND und OR realisiert mit NAND bzw. NOR (aus [5]) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 16

17 Ein Minterm ist eine Boole sche Funktion, die für genau eine Eingangskombination (mit konjunktiver Verknüpfung) den Ausgabewert 1 und für alle anderen Kombinationen den Wert 0 annimmt. Ein Maxterm ist eine Boole sche Funktion, die für genau eine Eingangskombination (mit disjunktiver Verknüpfung) den Ausgabewert 0 und für alle anderen Kombinationen den Wert 1 annimmt. Normalformen: Die disjunktive Normalform (DNF) einer Boole schen Funktion erhält man aus der disjunkten Verknüpfung derjenigen Minterme, die den Wert 1 an den Eingangskombinationen erzeugen, an denen die Funktion 1-Werte aufweist. Die konjunktive Normalform (KNF) einer Boole schen Funktion erhält man aus der konjunktiven Verknüpfung derjenigen Maxterme, die den Wert 0 an den Eingangskombinationen erzeugen, an denen die Funktion 0-Werte aufweist. Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 17

18 Beispiele für Minterme: ( A B C) (A B C) (A B C) ( A B C) Beispiele für Maxterme: ( A B C) (A B C) ( A B C) (A B C) ( A B C) C B A Q Disjunktive Normalform (DNF): Q = ( A B C) ( A B C) (A B C) (A B C) Konjunktive Normalform (KNF): Q = ( A B C) (A B C) (A B C) ( A B C) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 18

19 1.4 Vereinfachung von Schaltfunktionen Vereinfachen mit Hilfe der Schaltalgebra KV-Diagramme Verfahren nach Quine/McCluskey Vorgehensweise: 1. DNF erstellen 2. Gruppieren der Minterme nach Anzahl von "1" 3. Untersuchung der benachbarten Gruppen auf Unterschiede. Wenn sich zwei Minterme in benachbarten Gruppen nur in einer einzigen Variablen unterscheiden, dann besteht keine Abhängigkeit von dieser Variablen die entsprechende Stelle wird in einer neuen Aufstellung durch ein "-" gekennzeichnet und die beiden Minterme werden markiert. 4. Wiederholung von 3. mit den neu gewonnen Ausdrücken bis es keine einfachen Unterschiede mehr gibt. Die übrig gebliebenen Terme sind Minterme, die sich nicht weiter vereinfachen lassen; sog. Primimplikanten (PI) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 19

20 5. Aufstellen der PI-Tabelle und suchen der Hauptterme (enthalten Minterme, die in keinem anderen PI vorkommen) 6. Untersuchung der PI-Tabelle und Auswahl einer minimalen Anzahl von PI, so dass alle Minterme der logischen Funktion vorkommen. Beispiel: S = m(0,4,5,6,7,14,15) Minterm D C B A Gruppe Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 20

21 Minterm D C B A Gruppe 0, , , , 7 6, 7 6, , 15 7, Minterm D C B A Gruppe 4, 5, 6, , 6, 5, , 7, 14, 15 6, 14, 7, Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 21

22 Primimplikantentabelle: Minterm Primimplik ant PI 1 x X X x PI 2 x X X x PI 3 X x Hauptterme sind: PI 1, PI 2 und PI 3 S = PI 1 PI 2 PI 3 = (C B) ( D C) ( D B A) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 22

23 Beispiel zum Quine-McCluskey Verfahren F = m(2,4,6,8,9,10,12,13,15) Minterm PI D C B A Gruppe Minterm PI D C B A Gruppe 2, , 10 4, 6 4,12 8, 9 8, 10 8, , , , Minterm PI D C B A Gruppe 9,13,8, , 13, 8, Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 23

24 Primimplikantentabelle: Minterm Primimplik PI 1 x x x x PI 2 x x PI 3 x x PI 4 x x PI 5 x x PI 6 x x Hauptterme sind: PI 1, PI 2 und PI 5 Minterm Primimplikant 4 PI 3 x PI 4 x PI 6 6 x x F = PI 1 PI 2 PI 5 PI 4 = (D B) (D C A) ( C B A) ( D C A) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 24

25 1.5 Logisch physikalische Zusammenhänge Positive/Negative Logik Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 25

26 1.5.2 Definition der Schaltzeiten Ausgangssignal eines Inverters t p (propagation delay time) t t (transition time) L (Low) H (High) U e (Eingangssignal) U a (Ausgabesignal) Signallaufzeit (Gatterlaufzeit): t p = (t phl + t plh ) / 2 Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 26

27 1.5.3 Übertragungskennlinie, Störabstand Störspannungsabstand für High-Pegel: U nh = U ahmin - U ehmin Störspannungsabstand für Low-Pegel: U nl = U elmax U almax Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 27

28 1.5.4 Ausgabeschaltungen 3-State Ausgänge Ausgangsschaltungen von TTL-Bausteinfamilien Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 28

29 1.5.5 Wired Technik Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 29

30 Verdrahtete Verknüpfung mit Open-Collector-Ausgängen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 30

31 1.5.6 Lastfaktoren Durch das Zusammenschalten mehrerer Gatter werden die elektrischen Eigenschaften an den Eingängen bzw. an den Ausgängen beeinflusst (Spannung, Strom, Kapazität) Die Lastfaktoren geben an, wie viele Gatter mit einem Ein- bzw. Ausgang verbunden werden dürfen, damit noch eine sichere Funktion gewährleistet ist. z.b.: Eingangslastfaktor (Fan-in): F I = 1; d.h. eine "normale" Eingangsbelastung abhängig) wird verursacht (von Schaltkreisfamilie Ausgangslastfaktor (Fan-out): F Q gibt an, wie viele "normale" Eingänge maximal an einen Ausgang angeschlossen werden dürfen, damit keine undefinierten Pegel entstehen (bei Standardbauelementen ist F Q üblicherweise 10, bei Leistungsgliedern 30) Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 31

32 1.6 Kontrollfragen Mit welchen einfachen Funktionen lassen sich alle logischen Aussagen formulieren? Wie viele logische Funktionen kann man in einem System mit 5 binären Eingängen und einem binären Ausgang formulieren? Was versteht man unter einer Normalfunktion, einem Minterm, einem Maxterm, einer und einer KNF? DNF Welche Methoden kennen Sie, um Schaltfunktionen zu vereinfachen. Nennen Sie auch die Vor- und Nachteile. Was versteht man unter negativer Logik? Was versteht man unter "Wired-Technik"? Wodurch unterscheiden sich eine Wertetabelle und eine Arbeitstabelle? Wie kann man eine logische Aussage beschreiben? Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 32

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 Vorlesung mit begleitendem Praktikum Klaus Kasper Achtung! Vorlesung am 3.4.27 fällt aus! Nächste Vorlesung am 2.4.27! Organisation des Praktikums Betreuung: Michael Müller, Klaus

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

f ist sowohl injektiv als auch surjektiv.

f ist sowohl injektiv als auch surjektiv. Bemerkungen: Wir erinnern uns an folgende Definitionen: Eine Funktion f : U V heißt injektiv, wenn gilt: ( x, y U)[x y f(x) f(y)] Eine Funktion f : U V heißt surjektiv, wenn gilt: ( y V x U)[y = f(x)]

Mehr

Systemorientierte Informatik 1

Systemorientierte Informatik 1 Systemorientierte Informatik. Grundlagen Digitaler Schaltungen.8 Schaltnetze aus Gattern und Leitungen.9 Boole sche Algebra. Minimierung Boole scher Funktionen. CMOS Komplegatter Die nächste Funktion,

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Übertragungskennlinien

Übertragungskennlinien Übertragungskennlinien für H- und L-Pegel für H- und L-Pegel NOT Funktion = /X Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 25 Übertragungskennlinien für H- und L-Pegel für H- und

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 2. November 22 Besprechung: Übungsstunden in der Woche ab dem 9. November 22 ) Schaltungen und Schaltnetze Communication

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Boolesche (Schalt-) Algebra (8)

Boolesche (Schalt-) Algebra (8) Boolesche (Schalt-) Algebra (8) Karnaugh-Diagramm ist eine graphische Technik zur Darstellung und Vereinfachung von Booleschen Ausdrücken ist eine andere, zweidimensionale Darstellung von Wahrheitstabellen

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

Grundlagen der Technischen Informatik. 7. Übung

Grundlagen der Technischen Informatik. 7. Übung Grundlagen der Technischen Informatik 7. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 7. Übungsblatt Themen Aufgabe : Aufgabe : Aufgabe : Aufgabe : KMF, Nelson/Petrick-Verfahren Quine-McCluskey-Verfahren

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil Vorlesung 4 SWS WS 5/6 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls-www.cs.tu-.de Übersicht. Organisatorisches 2.

Mehr

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel:

Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: Seite 1 Aufgabe 1 Algorithmus von McClusky: Der Algorithmus von McCluskey liefert durch wiederholte Anwendung der ersten und zweiten Vereinfachungsregel: f 1 = a b c d + a b c d + a b c d + a b c d + a

Mehr

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer.

13. Vorlesung. Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen. Multiplexer Demultiplexer Addierer. 13. Vorlesung Logix Klausuranmeldung nicht vergessen! Übungsblatt 3 Logikschaltungen Diode Transistor Multiplexer Demultiplexer Addierer 1 Campus-Version Logix 1.1 Vollversion Software und Lizenz Laboringenieur

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 3 AM 18.11.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 2017/2018 Ztralübung

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 4 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 4 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 4 AM 13.11.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 3 am 19.11.2010 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Schaltungsentwurf und Minimierungsverfahren Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für Titelbild

Mehr

5. Vorlesung: Normalformen

5. Vorlesung: Normalformen 5. Vorlesung: Normalformen Wiederholung Vollständige Systeme Minterme Maxterme Disjunktive Normalform (DNF) Konjunktive Normalform (KNF) 1 XOR (Antivalenz) X X X X X X ( X X ) ( X X ) 1 2 1 2 1 2 1 2 1

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 21. Oktober 2013 1/33 1 Boolesche

Mehr

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

8. Realisierung von Schaltnetzen mit Gattern

8. Realisierung von Schaltnetzen mit Gattern 8. Realisierung von Schaltnetzen mit Gattern Im Folgenden soll ein kurzer qualitativer Einblick in die physikalische Arbeitsweise von Gattern gegeben werden. Dabei wird dann auch der Sinn des Begriffes

Mehr

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik Übung zur Vorlesung Technische Informatik I, SS03 Ergänzung Übungsblatt 1 Boole sche Algebra, Kombinatorische Logik Guenkova, Schmied, Bindhammer, Sauer {guenkova@vs., schmied@vs., bindhammer@vs., dietmar.sauer@}

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur

Übungen zur Vorlesung Grundlagen der Rechnerarchitektur Universität Koblenz-Landau Übungen zur Vorlesung Grundlagen der Rechnerarchitektur - Sommersemester 2018 - Übungsblatt 2 Abgabe bis Montag, 28. Mai 2018, 23:59 Uhr als pdf via SVN Punkte Kürzel A1 (10)

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

3 Boole'sche Algebra und Aussagenlogik

3 Boole'sche Algebra und Aussagenlogik 3 Boole'sche Algebra und Aussagenlogik 3- Boole'sche Algebra Formale Grundlagen der Informatik I Herbstsemester 22 Robert Marti Vorlesung teilweise basierend auf Unterlagen von Prof. emer. Helmut Schauer

Mehr

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik

Physikalisches Praktikum für Vorgerückte. an der ETH Zürich. vorgelegt von. Mattia Rigotti Digitale Elektronik Physikalisches Praktikum für Vorgerückte an der ETH Zürich vorgelegt von Mattia Rigotti mrigotti@student.ethz.ch 14.02.2003 Digitale Elektronik Versuchsprotokoll 1 Inhaltverzeichnis 1. Zusammenfassung...

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

6. Minimierung Boolescher Polynome

6. Minimierung Boolescher Polynome H.J. Oberle Boolesche Algebra WiSe 2006/07 6. Minimierung Boolescher Polynome An Beispiel (5.11) c) erkennt man, dass die DNF eines Booleschen Polynoms i. Allg. ungünstig in Bezug auf die Anzahl der Auftretenden

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

Mathematische Grundlagen I Logik und Algebra

Mathematische Grundlagen I Logik und Algebra Logik und Algebra Dr. Tim Haga 21. Oktober 2016 1 Aussagenlogik Erste Begriffe Logische Operatoren Disjunktive und Konjunktive Normalformen Logisches Schließen Dr. Tim Haga 1 / 21 Präliminarien Letzte

Mehr

8. Tutorium Digitaltechnik und Entwurfsverfahren

8. Tutorium Digitaltechnik und Entwurfsverfahren 8. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Logische Äquivalenz. Definition Beispiel 2.23

Logische Äquivalenz. Definition Beispiel 2.23 Logische Äquivalenz Definition 2.22 Zwei aussagenlogische Formeln α, β A heißen logisch äquivalent, falls für jede Belegung I von α und β gilt: Schreibweise: α β. Beispiel 2.23 Aus Folgerung 2.6 ergibt

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 6 am 0.2.200 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik

Digitaltechnik Teil 1-1 - Prof. Komar. Digitaltechnik Digitaltechnik Teil 1-1 - Prof. Komar Digitaltechnik Teil 1: Schaltalgebra Minimierung - digitale Bausteine - Schaltnetze Inhaltsverzeichnis Schaltalgebra... 2 Verknüpfungszeichen und Darstellungsformen

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Übungen zu Informatik 1 Technische Grundlagen der Informatik - Übung 9 Ausgabedatum: 11. November 2013 Besprechung: Übungsstunden in der Woche 47 (18.11. - 22.11.2013) 1) Normal- und Minimalformen Communication

Mehr

Logik für Informatiker

Logik für Informatiker Vorlesung Logik für Informatiker 5. Aussagenlogik Normalformen Bernhard Beckert Universität Koblenz-Landau Sommersemester 2006 Logik für Informatiker, SS 06 p.1 Normalformen Definition: Literal Atom (aussagenlogische

Mehr

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt.

Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. 4. Technische Realisierung Sie erinnern sich: Ein Signal ist eine zeitlich veränderliche physikalische Größe, die eine auf sie abgebildete Information trägt. Hier: physikalische Größe = elektrische Spannung

Mehr

1. Algebraische Strukturen: Boole sche Algebra

1. Algebraische Strukturen: Boole sche Algebra 1. Algebraische Strukturen: Boole sche Algebra Der Begriff Algebra wird im allgemeinen Verständnis mit Lehre von den Zahlen gleich gesetzt. Das ergibt sich aus unserer Schulausbildung, die sich mit den

Mehr

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination

Mehr

Informatik A (Autor: Max Willert)

Informatik A (Autor: Max Willert) 2. Aufgabenblatt Wintersemester 2012/2013 - Musterlösung Informatik A (Autor: Max Willert) 1. Logik im Alltag (a) Restaurant A wirbt mit dem Slogan Gutes Essen ist nicht billig!, das danebenliegende Restaurant

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d 6.3 Beispiel: Siebensegmentanzeige Typische Anzeige für Ziffern a f g b 0 1 2 3 4 5 6 7 8 9 e d c Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 2 Kombinatorische

Mehr

Übungsblatt 5 (Block B - 1) (17 Punkte)

Übungsblatt 5 (Block B - 1) (17 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de olaf.neugebauer [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 27 Übungsblatt 5 (Block B - ) (7 Punkte)

Mehr

Digitalelektronik Einführung Prof. Metzler

Digitalelektronik Einführung Prof. Metzler Digitalelektronik Einführung 1 Prof. Uwe Metzler Hochschule für Technik und Wirtschaft FB 1, Studiengänge IKT (BA) & (MA) Wilhelminenhofstr. 75A 12459 Berlin Raum C 307 Tel.: 5019-3211 Email: uwe.metzler@htw-berlin.de

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Einführung in die Boolesche Algebra

Einführung in die Boolesche Algebra Einführung in die Boolesche Algebra Einführung in Boole' sche Algebra 1 Binäre Größe Eine Größe (eine Variable), die genau 2 Werte annehmen kann mathematisch: falsche Aussage wahre Aussage technisch: ausgeschaltet

Mehr

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Informatik Gierhardt Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Algebra Der englische Mathematiker George Boole (1815-1864) entwickelte in seinem Buch The Laws of Thought zur systematischen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Allgemeingültige Aussagen

Allgemeingültige Aussagen Allgemeingültige Aussagen Definition 19 Eine (aussagenlogische) Formel p heißt allgemeingültig (oder auch eine Tautologie), falls p unter jeder Belegung wahr ist. Eine (aussagenlogische) Formel p heißt

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Literaturverzeichnis

Literaturverzeichnis Literaturverzeichnis 1 G. Wellenreuther, D. Zastrow: Automatisieren mit SPS Theorie und Praxis, Übersichten und Übungsaufgaben, Viewegs Fachbücher der Technik, 2003 2 H. G. Boy, K. Bruckert, B. Wessels:

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit Grundlagen der Technischen Informatik 6. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 6. Übungsblatt Themen Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Relais-Schaltnetze Entwicklungssatz

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen Bisher Klassische Aussagenlogik (Syntax, Semantik) semantische Äquivalenz von Formeln äquivalentes Umformen von Formeln (syntaktisch) Normalformen: NNF, DNF, CNF, kanonische DNF und CNF Ablesen kanonischer

Mehr

1.6 Mikroelektronik - Speichernde Schaltungen RAM

1.6 Mikroelektronik - Speichernde Schaltungen RAM Schaltnetze alle zuvor skizzierten Schaltungen Kombinatorische Logik aus Gattern Boole sche Algebra / Schaltalgebra Realisiert Funktionen: f (X) = Y beliebig kompliziert damit kann aber noch nicht gerechnet

Mehr