2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )

Größe: px
Ab Seite anzeigen:

Download "2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm )"

Transkript

1 2.4. Das Karnaugh Veitch Diagramm ( KV Diagramm ) Mit dem KV-Diagramm sollen Sie ein Verfahren kennen lernen, mit dem Funktionsgleichungen vereinfacht werden können. Dazu wird jeder Eingangskombination in der Funktionstabelle eine Fläche im Diagramm zugeordnet. Bei einer Funktionstabelle mit den zwei Eingängen A und B weist das Diagramm vier Flächen auf. Anhand eines Beispiels soll dieser Sachverhalt näher betrachtet werden Das KV Diagramm für 3 Eingangsvariablen Nimmt man 3 Eingangsvariable an, so ergeben sich 2 3 mögliche Verknüpf ungen untereinander. Als einfachste Form, eine Boolesche Funktion darzustellen, wurde die Definition durch eine Wertetabelle (auch Wahrheitstafel genannt) eingeführt. y Die aus der Wertetabelle ausgelesene Schaltfunktion ergibt folgende Funktionsgleichung: Stellt man nun diese Schaltfunktion im Stromlaufplan dar, so ergibt sich folgendes Bild: Nach näheren Betrachten der Schaltung kommt man zu der Erkenntnis, dass sich hier sicher etwas vereinfachen lässt. Um die Interpretierbarkeit einer Funktion zu vereinfachen, wurde von M. Karnaugh und E.W. Veitch eine grafische Darstellungsform vorgeschlagen, die es erlaubt, mit Hilfe von Anordnungsmustern und Strukturen unmittelbare Rückschlüsse auf die Schaltfunktion zu ziehen. Die von Karnaugh und Veitch vorgeschlagene Darstellung wird heute als Karnaugh Veitch Diagramm ( kurz KV Diagramm ) bezeichnet.

2 Das KV Diagramm für unsere 3 Eingansvariablen lässt sich in folgender Form darstellen: Die Aufstellung des Diagramms kann beliebig erfolgen, wenn man sich an folgende Regel hält: Von Zeile zu Zeile, bzw. von Spalte zu Spalte darf sich immer nur der Wert einer Variablen verändern. Nach der Aufstellung des KV Diagramms werden nun die Einsen aus der Wertetabelle in das Diagramm übertragen: Im vollständig ausgefüllten Diagramm sind die fünf Einser eingetragen. Es werden nun im KV Diagramm nur die Felder betrachtet, in denen eine Eins steht. Um einen vereinfachten Ausdruck zu erhalten, werden die Einser in waagerechten bzw. senkrechten Blöcken zusammengefasst. Dabei sind die Blöcke so groß als möglich zu wählen. Auswertung des KV Diagramms: Die Auswertung des KV Diagramms erfolgt durch die Anwendung folgender Rechenregeln:. x v x = Variable fällt raus 2. x v x = x bzw. x v x = x Es gibt folgende Blöcke: 2 = er Block keine Variable fällt raus 2 = 2er Block Variable fällt raus 2 2 = 4er Block 2 Variablen fallen raus 2 3 = 8er Block 3 Variablen fallen raus 2 4 = 6er Block 4 Variablen fallen raus 2 5 = 32er Block 5 Variablen fallen raus Für den blauen Block () ergibt sich unter Anwendung oben genannter Regeln folgendes Ergebnis: ( ) = und für den grünen Block (2) bekommen wir folgende Lösung: ( 2 ) = x x 2 2

3 Die vereinfachte Schaltfunktion erhält man durch disjunktive (ODER) Verknüpfung aller ausgelesenen Blöcke. y = v x x 2 Nun kann der vereinfachte Stromlaufplan für die Schaltung gezeichnet werden: x x 2 y An dieser Stelle noch ein wichtiger Hinweis: Beachte: Auch die erste und die letzte Zeile bzw. die erste und die letzte Spalte unterscheiden sich nur im Wert einer Variablen und können somit zur Blockbildung herangezogen werden. Räumliche Anordnnug eines KV Diagramms für drei Argumente a, b, c. Das besprochene Verfahren lässt sich auch zur Vereinfachung von Funktionsgleichungen verwenden, die vier, fünf oder sechs Eingangsgrößen besitzen. 3

4 Das KV Diagramm für 4 Eingangsvariablen Diagramm x 2 x x 2 x Wertetabelle y Folgende Beispiele zum KV Diagramm mit 4 Eingangsvariablen sollen verschiedene Möglichkeiten der Blockbildung aufzeigen: x Block : x 2 x 4 Block 2: x x 2 Block 3: x 4 x x x Block : Block 2 : x 4

5 x x 2 x x 2 x x x 2 x x 2 x x x Block : Block 2: x x Block : Block 2: x x2 x3 x4 x x Das KV Diagramm für 5 Eingangsvariablen Diagramm Wertetebelle x 2 x x2 x x2 x x2 x x 2 x x 5 Symmetrieachse Folgendes Beispiel zum KV Diagramm mit 5 Eingangsvariablen soll eine Möglichkeit der Blockbildung aufzeigen: x x x 5 x 5 y 5

6 Das KV Diagramm für 6 Eingangsvariablen Diagramm x2 x x 2 x x 2 x x 2 x x2 x x2 x x 2 x x 5 Folgendes Beispiel zum KV Diagramm mit 6 Eingangsvariablen soll eine Möglichkeit der Blockbildung aufzeigen: Wertetabelle x 6 x 5 y x 6 Symmetrieachsen x 5 x 6 6

7 Übungen und Beispiele y y 2 y 3 y 4 y Lösungen: y =... y =... y 2 =... y 2 =... y 3 =... y 3 =... y 4 = y 4 =... Block :... Block 2:... Block 3:... Block 4:... y 2 Block :... Block 2:... Block 3:... Block 4:... 7

8 y 3 Block :... Block 2:... Block 3:... Block 4:... y 4 Block :... Block 2:... Block 3:... Block 4:... Kontrollieren Sie ihre Lösungen:. Einsen eintragen 2. Blockbildung 3. Auslesen der Blöcke 4. Endlösung 5. Logik und Stromlaufpläne 8

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0

Satz von De Morgan A B A + B A + B A B A. Transistoren: A B U a A 0 0 Vcc Vcc Vcc V 0 Satz von De Morgan A + = A A A + A + A A 0 0 0 1 1 1 1 0 1 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Transistoren: A U a A 0 0 Vcc 1 0 1 Vcc 1 1 0 Vcc 1 1 1 0 V 0 eispiel: Schaltung zur Erkennung gültiger

Mehr

Schaltfunktion, Definition

Schaltfunktion, Definition Schaltfunktion, Definition Sei S = { 0,1}. Dann heißt eine Abbildung f: S n S eine Schaltfunktion. = f(x n-1,x n-2,...,,, ), x n-1, x n-2,...,,, S x i X = (x n-1,x n-2,...,,, ) Eingangsvariable Eingangsvektor

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

1. Logische Verknüpfungen

1. Logische Verknüpfungen 1. Logische Verknüpfungen 1.1 UND - Verknüpfung Mathematik: X = A Schaltzeichen: A & X Wahrheitstabelle: A X 0 0 0 0 1 0 1 0 0 1 1 1 Am Ausgang eines UND Gliedes liegt nur dann der Zustand 1, wenn an allen

Mehr

03 Boolesche Algebra. Technische Grundlagen der Informatik

03 Boolesche Algebra. Technische Grundlagen der Informatik 03 Boolesche Algebra Technische Grundlagen der Informatik Automation Systems Group E183-1 Institute of Computer Aided Automation Vienna University of Technology email: tgi@auto.tuwien.ac.at Inhalt Operationen

Mehr

Digitaltechnik. KV-Diagramm

Digitaltechnik. KV-Diagramm KV-01 ie unterscheidet sich von der Analogtechnik dahingehend, dass sie nur zwei (Spannungs)Zustände kennt: nämlich 0V (binär 0) oder 5V (binär 1). iese beiden Zustände werden durch verschiedene logische

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA

BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA BOOLSCHE ALGEBRA / SCHALTUNGSALGEBRA 1. Digitale Grundschaltungen 1.1 UND/AND-SCHALTUNG 0 0 0 1 0 0 0 1 0 1 1 1 x = a /\ b 1.2 ODER/OR-SCHALTUNG 0 0 0 0 1 1 1 0 1 1 1 1 x = a \/ b NICHT/NOT-SCHALTUNG A

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska

GETE DIGITAL TECHNIK CODIERUNG BCD: BINARY CODED DIGITAL. Hr. Houska GETE DIGITAL TECHNIK Hr. Houska CODIERUNG Codes werden dazu verwendet, um Zahlen, Buchstaben und Zeichen in ander Darstellungsformen zu verwenden. So repräsentieren unterschiedliche Codes die verschiedenen

Mehr

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung

2.3 Logikoptimierung. Überblick digitale Synthese. Logikoptimierung 2.3 Logikoptimierung Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik:..Exakte Verfahen..Heuristische Verfahren..Expansion/ Reduktion..Streichen

Mehr

Boolesche (Schalt-) Algebra (8)

Boolesche (Schalt-) Algebra (8) Boolesche (Schalt-) Algebra (8) Karnaugh-Diagramm ist eine graphische Technik zur Darstellung und Vereinfachung von Booleschen Ausdrücken ist eine andere, zweidimensionale Darstellung von Wahrheitstabellen

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 3: Schaltnete 83.579, SS202 Übungsgruppen: Do., 9.04. Mi., 25.04.202 Aufgab: Vereinfachung mittels KV-Diagramm Gegeben ist folgende Wahrheitstafel: e 0 Z Z

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

6. Minimierung Boolescher Polynome

6. Minimierung Boolescher Polynome H.J. Oberle Boolesche Algebra WiSe 2006/07 6. Minimierung Boolescher Polynome An Beispiel (5.11) c) erkennt man, dass die DNF eines Booleschen Polynoms i. Allg. ungünstig in Bezug auf die Anzahl der Auftretenden

Mehr

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d

C Beispiel: Siebensegmentanzeige. Typische Anzeige für Ziffern a. f g. e d. Gesucht: Schaltfunktion für die Ansteuerung des Segmentes d 6.3 Beispiel: Siebensegmentanzeige Typische Anzeige für Ziffern a f g b 0 1 2 3 4 5 6 7 8 9 e d c Schaltfunktionen zur Ansteuerung der Segmente Parameter: binär codierte Zahl bzw. Ziffer Gesucht: Schaltfunktion

Mehr

Boolesche (Schalt-) Algebra (1)

Boolesche (Schalt-) Algebra (1) Boolesche (Schalt-) Algebra (1) Definition 1: Sei B = SS 2 = 0,1 das Alphabet mit den Elementen 0 und 1. Seien auf BB die folgenden 3 Operatoren definiert für xx, yy B: xx + yy max xx, yy xx yy min xx,

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Minimierung von logischen Schaltungen

Minimierung von logischen Schaltungen Minimierung von logischen Schaltungen WAS SIND LOGISCHE SCHALTUNGEN Logische Verknüpfungszeichen: & = Logisches Und-Verknüpfung (Konjunktion). V = Logische Oder-Verknüpfung (Disjunktion). - = Nicht (Negation).

Mehr

Electronic Design Automation (EDA) Logikoptimierung

Electronic Design Automation (EDA) Logikoptimierung Electronic Design Automation (EDA) Logikoptimierung Überblick digitale Synthese Logikoptimierung Begriffe Mehrstufige Logik Zweistufige Logik: Exakte Verfahren... Heuristische Verfahren... Expansion/Reduktion...

Mehr

Normalformen von Schaltfunktionen

Normalformen von Schaltfunktionen Disjunktive Normalform (DNF) Vorgehen: 2. Aussuchen der Zeilen, in denen die Ausgangsvariable den Zustand 1 hat 3. Die Eingangsvariablen einer Zeile werden UND-verknüpft a. Variablen mit Zustand 1 werden

Mehr

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen Bisher Klassische Aussagenlogik (Syntax, Semantik) semantische Äquivalenz von Formeln äquivalentes Umformen von Formeln (syntaktisch) Normalformen: NNF, DNF, CNF, kanonische DNF und CNF Ablesen kanonischer

Mehr

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe

Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Informatik Gierhardt Algebra mit Schaltungen I Städtisches Gymnasium Bad Laasphe Algebra Der englische Mathematiker George Boole (1815-1864) entwickelte in seinem Buch The Laws of Thought zur systematischen

Mehr

Informationsverarbeitung auf Bitebene

Informationsverarbeitung auf Bitebene Informationsverarbeitung auf Bitebene Dr. Christian Herta 5. November 2005 Einführung in die Informatik - Informationsverarbeitung auf Bitebene Dr. Christian Herta Grundlagen der Informationverarbeitung

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Störungen in Digitalsystemen

Störungen in Digitalsystemen Störungen in Digitalsystemen Eine Lernaufgabe von Jost Allmeling Betreuer: Markus Thaler Inhalt und Lernziel: Die Studenten erkennen, dass man durch Einfügen von zusätzlichen Gattern Hazards vermeiden

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

6. Vorlesung: Minimalformen

6. Vorlesung: Minimalformen 6. Vorlesung: Minimalformen Wiederholung Minterme Maxterme Disjunktive Normalform (DN) Konjunktive Normalform (KN) Minimalformen KV-Diagramme 24..26 fällt aus wegen Dozentenfachexkursion 2 Normalformen

Mehr

6. Tutorium Digitaltechnik und Entwurfsverfahren

6. Tutorium Digitaltechnik und Entwurfsverfahren 6. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Design und Implementierung eines Tools zur Visualisierung der Schaltfunktionsminimierung

Design und Implementierung eines Tools zur Visualisierung der Schaltfunktionsminimierung Design und Implementierung eines Tools zur Visualisierung der Schaltfunktionsminimierung mit KV-Diagrammen Design and implementation of an e-learning tool for minimization of boolean functions based on

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 6 am 0.2.200 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft

Mehr

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks!

11. Beschreiben Sie die disjunktive und die konjunktive Normalform eines logischen Ausdrucks! Kapitel 3 Logik Verständnisfragen Sachfragen 1. Was ist eine logische Aussage? 2. Wie ist die Konjunktion und die Disjunktion definiert? 3. Beschreiben Sie das Exklusive Oder, die Implikation und die Äquivalenz!

Mehr

Allgemeingültige Aussagen

Allgemeingültige Aussagen Allgemeingültige Aussagen Definition 19 Eine (aussagenlogische) Formel p heißt allgemeingültig (oder auch eine Tautologie), falls p unter jeder Belegung wahr ist. Eine (aussagenlogische) Formel p heißt

Mehr

f ist sowohl injektiv als auch surjektiv.

f ist sowohl injektiv als auch surjektiv. Bemerkungen: Wir erinnern uns an folgende Definitionen: Eine Funktion f : U V heißt injektiv, wenn gilt: ( x, y U)[x y f(x) f(y)] Eine Funktion f : U V heißt surjektiv, wenn gilt: ( y V x U)[y = f(x)]

Mehr

Technische Informatik I

Technische Informatik I Rechnerstrukturen Dario Linsky Wintersemester 200 / 20 Teil 2: Grundlagen digitaler Schaltungen Überblick Logische Funktionen und Gatter Transistoren als elektronische Schalter Integrierte Schaltkreise

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze

8 Boolesche Algebra. 8.1 Grundlegende Operationen und Gesetze 82 8 Boolesche Algebra Die Boolesche Algebra ist eine Algebra der Logik, die George Boole (1815 1864) als erster entwickelt hat. Sie ist die Grundlage für den Entwurf von elektronischen Schaltungen und

Mehr

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen

Rückblick. Erweiterte b-adische Darstellung von Kommazahlen. 7,1875 dargestellt mit l = 4 und m = 4 Bits. Informatik 1 / Kapitel 2: Grundlagen Rückblick Erweiterte b-adische Darstellung von Kommazahlen 7,1875 dargestellt mit l = 4 und m = 4 Bits 66 Rückblick Gleitkommazahlen (IEEE Floating Point Standard 754) lassen das Komma bei der Darstellung

Mehr

2.1 Boole sche Funktionen

2.1 Boole sche Funktionen . Grundlagen digitaler Schaltungen. Boole sche Funktionen Darstellung Boolescher Funktionen. Boole sche lgebra Sätze der Booleschen lgebra.3 Realisierung von Booleschen Funktionen Normalformen zweistufiger

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik

2.5. Umwandlung von Schaltfunktionen in die NOR und NAND Technik .. Umwandlung on Schaltfunktionen in die NOR und NAND Technik... Smbole 0 0 0 0 0 NAND Elemente 0 0 0 0 0 0 0 NOR Elemente Beachte : Jedes NOR bzw. NAND Element hat mindestens Eingänge!... Umwandlungsorschriften

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Informationskodierung (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6) Sequentielle Schaltungen (7) Ablaufsteuerung (8) Fortsetzung Teil

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Technische Informatik I 4. Vorlesung. 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen

Technische Informatik I 4. Vorlesung. 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen Technische Informatik I 4. Vorlesung 2. Funktion digitaler Schaltungen... wertverlaufsgleiche Umformungen...... H.-D. Wuttke 09 Karnaugh-Veith Veith-Diagramme, 3. Struktur digitaler Schaltungen: Strukturdefinition,

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 8 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.   WOCHE 8 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 8 AM 11.12.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Minimierung mittels KV-Diagramm (Karnaugh-Plan)

Minimierung mittels KV-Diagramm (Karnaugh-Plan) MINIMIERUNG MITTELS KV-DIAGRAMM (KARNAUGH-PLAN) 16. 11. 2015 1 Minimierung mittels KV-Diagramm (Karnaugh-Plan) Grundlagen der Schaltungsminimierung Die Schaltfunktion ist als disjunktive oder konjunktive

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 8 AM 23.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik hristopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris Logische Schaltungen System mit Eingängen usgängen interne Logik die Eingänge auf

Mehr

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2

Wirtschaftsingenieurwesen Elektronik/Schaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 Schaltnetze 2 Wirtschaftsingenieurwesen Elektronik/chaltungstechnik Prof. M. Hoffmann FB ETIT Übung 7 chaltnetze 2 Kenntnisse bezüglich der logischen Grundfunktionen sowie der Regeln und Gesetze der chaltalgebra sind

Mehr

Logik und Mengen. Hans spielt Tennis und Q : * Aussagen. Formalisieren Sie die folgenden Aussagen mit Hilfe von P :

Logik und Mengen. Hans spielt Tennis und Q : * Aussagen. Formalisieren Sie die folgenden Aussagen mit Hilfe von P : Prof. Dr. M. Helbig Mathematik Übung Logik und Mengen * Aussagen. Formalisieren Sie die folgenden Aussagen mit Hilfe von P : Hans spielt Tennis und Q : Hans läuft gern : 1. Wenn Hans Tennis spielt, dann

Mehr

Formelsammlung. Wahrscheinlichkeit und Information

Formelsammlung. Wahrscheinlichkeit und Information Formelsammlung Wahrscheinlichkeit und Information Ein Ereignis x trete mit der Wahrscheinlichkeit p(x) auf, dann ist das Auftreten dieses Ereignisses verbunden mit der Information I( x): mit log 2 (z)

Mehr

Ab 5.11.: Vorlesung im HSG H.001

Ab 5.11.: Vorlesung im HSG H.001 Rechnerstrukturen WS 202/3 Ab 5..: Vorlesung im HSG H.00 Boolesche Funktionen und Schaltnetze KV-Diagramme Beschreibung und Beispiel Minimalpolynome Algorithmus von Quine und McCluskey Einleitung, Berechnung

Mehr

IT 1 Übung / Kombinatorische Logik1

IT 1 Übung / Kombinatorische Logik1 IT 1 Übung / Kombinatorische Logik1 Lehrziel dieser Übung ist es eine kombinatorische Logikschaltung aufzubauen. Weiters wird die Schaltung simuliert und messtechnisch überprüft. Übungsdurchführung: 1.

Mehr

Quadratische Funktionen Der Funktionsbegriff

Quadratische Funktionen Der Funktionsbegriff Der Funktionsbegriff Definition: Eine Funktion ist eine Vorschrift, die jedem Element einer Menge A ein Element einer Menge B zuordnet 1. Darstellungsform einer Funktion: Das Pfeildiagramm: Bezeichnungen:

Mehr

2. Funktionen und Entwurf digitaler Grundschaltungen

2. Funktionen und Entwurf digitaler Grundschaltungen 2. Funktionen und Entwurf digitaler Grundschaltungen 2.1 Kominatorische Schaltungen Kombinatorische Schaltungen - Grundlagen 1 Grundgesetze der Schaltalgebra UND-Verknüpfung ODER-Verknüpfung NICHT-Verknüpfung

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 203 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 2. Mai 203 Boolesche Funktionen

Mehr

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 1 4. Semester ARBEITSBLATT 1 FUNKTIONEN. Was ist eine Funktion?

Mathematik: Mag. Schmid Wolfgang Arbeitsblatt 1 4. Semester ARBEITSBLATT 1 FUNKTIONEN. Was ist eine Funktion? Mathematik: Mag. Schmid Wolfgang Arbeitsblatt. Semester ARBEITSBLATT FUNKTIONEN Was ist eine Funktion? Stellen wir uns Folgendes vor: Wir stehen vor einem Schaufenster und betrachten die Waren, welche

Mehr

TU5 Aussagenlogik II

TU5 Aussagenlogik II TU5 Aussagenlogik II Daniela Andrade daniela.andrade@tum.de 21.11.2016 1 / 21 Kleine Anmerkung Meine Folien basieren auf den DS Trainer von Carlos Camino, den ihr auf www.carlos-camino.de/ds findet ;)

Mehr

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4

Aufgabe 1. Aufgabe 2. Abbildung 1: Schaltung für die Multiplikation mit 4 Aufgabe 1 Eine Zahl a ist mit 8 Bits vorzeichenlos (8 bit unsigned) dargestellt. Die Zahl y soll die Zahl a multipliziert mit 4 sein (y = a 4 D ). a) Wie viele Bits benötigen Sie für die Darstellung von

Mehr

KAPITEL 5. Damit wird F n (B) selbst zu einer Booleschen Algebra und es gilt f(x) := f(x) 0(x) := 0 B 1(x) := 1 B

KAPITEL 5. Damit wird F n (B) selbst zu einer Booleschen Algebra und es gilt f(x) := f(x) 0(x) := 0 B 1(x) := 1 B KAPITEL 5 Boolesche Polynome Polynome über R, d.h. reelle Funktionen der Form p(x) = a 0 + a 1 x + + a n x n, x R, sind schon aus der Schulmathematik bekannt. Wir beschäftigen uns nun mit Booleschen Polynomen,

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter Computersysteme 2. Grundlagen Digitaler Schaltungen 2.10 Minimierung Boole scher Funktionen 2.11 CMOS Komplexgatter 1 Die Einsen im KV-Diagramm werden zu Blöcken maximaler Größe zusammengefasst. Dabei

Mehr

Was sind Funktionen, warum gibt es sie und was machen sie?

Was sind Funktionen, warum gibt es sie und was machen sie? Was sind Funktionen, warum gibt es sie und was machen sie? Nimmt man eine bestimmte Menge an Menschen, z.b. eine Schulklasse mit 23 Schülern, kann man eine Liste aufstellen, in der jeder Schüler mit seiner

Mehr

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik

Technische Informatik I, SS03. Boole sche Algebra, Kombinatorische Logik Übung zur Vorlesung Technische Informatik I, SS03 Ergänzung Übungsblatt 1 Boole sche Algebra, Kombinatorische Logik Guenkova, Schmied, Bindhammer, Sauer {guenkova@vs., schmied@vs., bindhammer@vs., dietmar.sauer@}

Mehr

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50)

Aussagenlogik. Formale Methoden der Informatik WiSe 2010/2011 teil 7, folie 1 (von 50) Aussagenlogik Formale Methoden der Informatik WiSe 2/2 teil 7, folie (von 5) Teil VII: Aussagenlogik. Einführung 2. Boolesche Funktionen 3. Boolesche Schaltungen Franz-Josef Radermacher & Uwe Schöning,

Mehr

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops

Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Anleitung zum Zeichnen einer Schaltung mit Flip-Flops Simon Gloser August 2, 27 Contents Automaten Zeichen 2. Beispiel........................................ 2 2 Übergangstabelle ( Übergangsmatrix ) anlegen

Mehr

Informatik I Tutorium WS 07/08

Informatik I Tutorium WS 07/08 Informatik I Tutorium WS 07/08 Vorlesung: Prof. Dr. F. Bellosa Übungsleitung: Dipl.-Inform. A. Merkel Tutorium: 2 Tutor: Jens Kehne Tutorium 7: Dienstag,. Dezember 2007 Agenda des heutigen Tutoriums Übersicht

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 6. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Boolesche Gesetze Boolesche Kürzungsregeln Antivalenz und

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Mathematik: Mag. Schmid Wolfgang & LehrerInnenteam Arbeitsblatt Semester ARBEITSBLATT 3-1 FUNKTIONEN. Was ist eine Funktion?

Mathematik: Mag. Schmid Wolfgang & LehrerInnenteam Arbeitsblatt Semester ARBEITSBLATT 3-1 FUNKTIONEN. Was ist eine Funktion? ARBEITSBLATT - FUNKTIONEN Was ist eine Funktion? Stellen wir uns Folgendes vor: Wir stehen vor einem Schaufenster und betrachten die Waren, welche ausgestellt sind. Da wir nicht beliebig viel Geld haben

Mehr

b= NaN

b= NaN 42 Beispiel: IEEE single precision: 0 10000000 00000000000000000000000 b= + 2 128 127 1.0 2 = 2 0 10000001 10100000000000000000000 b= + 2 129 127 1.101 2 = 6.5 1 10000001 10100000000000000000000 b= 2 129

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig A) Vorbereitungsaufgaben: 1) Ermitteln Sie anhand der gegebenen zwei Blockschaltbilder die Schaltgleichungen und vereinfachen Sie weitmöglich! y 1 =(/(/(x 0 x 1 )/(x 0 +x 1 )))+(/(/(x 0 x 1 )+/(x 0

Mehr

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 4 AM

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery.  WOCHE 4 AM DuE-Tutorien 4 und 6 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery WOCHE 4 AM 13.11.2012 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter)

Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Lösung 3.1 Schaltalgebra - Schaltnetze (AND, OR, Inverter) Folgende Darstellung der Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern ist möglich: a) F = X ( Y Z) b) F = EN ( X Y) ( Y

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Zahlensysteme, Digitale Systeme (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4) Automaten (5,6) Datenkodierung (7,8) Fortsetzung Teil Rechnerarchitektur,

Mehr

Digitalelektronik - Inhalt

Digitalelektronik - Inhalt Digitalelektronik - Inhalt Grundlagen Signale und Werte Rechenregeln, Verknüpfungsregeln Boolesche Algebra, Funktionsdarstellungen Codes Schaltungsentwurf Kombinatorik Sequentielle Schaltungen Entwurfswerkzeuge

Mehr

unabhängigen Variablen Eine Funktion dient der Beschreibung von Zusammenhängen zwischen mehreren verschiedenen Faktoren.

unabhängigen Variablen Eine Funktion dient der Beschreibung von Zusammenhängen zwischen mehreren verschiedenen Faktoren. Funktionsbegriff 2.1 2 Funktionen mit einer unabhängigen Variablen 2.1 Funktionsbegriff Eine Funktion dient der Beschreibung von Zusammenhängen zwischen mehreren verschiedenen Faktoren. In den Wirtschaftswissenschaften

Mehr

3.6 Bemerkungen zur Umformung boolescher Formeln (NAND): doppelte Negation

3.6 Bemerkungen zur Umformung boolescher Formeln (NAND): doppelte Negation 3.6 Bemerkungen zur Umformung boolescher Formeln (NAND): Häufig verwendeten Umformungen sind: Idempotenz doppelte Negation De Morgan a = a a a = a a + b = a b ADS-EI 3.6 Bemerkungen zur Umformung boolescher

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

1 Goldener Schnitt Pascalsches Dreieck Der Binomische Lehrsatz ( ) ß mit a multipliziert. ( a+ b) 4 = a 3 +3a 2 b+3ab 2 + b 3

1 Goldener Schnitt Pascalsches Dreieck Der Binomische Lehrsatz ( ) ß mit a multipliziert. ( a+ b) 4 = a 3 +3a 2 b+3ab 2 + b 3 1 Goldener Schnitt Pascalsches Dreieck 17 1.3 Pascalsches Dreieck 1.3.1 Der Binomische Lehrsatz Aus der Schule ist Ihnen mit Sicherheit die Binomische Regel bekannt: ( ) 2 = a 2 +2ab+ b 2 a+ b Diese Regel

Mehr

Technische Informatik (RO)

Technische Informatik (RO) Technische Informatik (RO) Zahlensysteme, Digitale Systeme (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten, Sequentielle Schaltungen (6) Informationskodierung (7,8) Fortsetzung

Mehr

Kapitel 4: Das Überdeckungsproblem

Kapitel 4: Das Überdeckungsproblem Kapitel : Das Überdeckungsproblem Kapitel Das Überdeckungsproblem Kapitel : Das Überdeckungsproblem Seite / 25 Kapitel : Das Überdeckungsproblem Inhaltsverzeichnis. Überdeckungsmatrizen.2 Minimalüberdeckungen.

Mehr

Rechnerorganisation 2.Vorlesung

Rechnerorganisation 2.Vorlesung Rechnerorganisation 2.Vorlesung Begriffe, Mathematische Grundlagen (1) Boolesche Algebren, Normalformen (2,3) Kombinatorische Schaltungen (4) Programmierbare Strukturen (5) Automaten, Sequentielle Schaltungen

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 16. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Literatur 2. Minimierung

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 3 AM 18.11.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Inhalt: Die vorliegenden Folienvorlagen enthalten folgende Elemente:

Inhalt: Die vorliegenden Folienvorlagen enthalten folgende Elemente: Inhalt: Punkte im Koordinatensstem Funktionen und ihre Schaubilder Punktprobe und Koordinaten berechnen Proportionale Funktionen 5 Steigung und Steigungsdreieck 6 Die Funktion = m + b 7 Funktionsgleichungen

Mehr

DuE-Tutorien 16 und 17

DuE-Tutorien 16 und 17 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Tutorienwoche 3 am 19.11.2010 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der

Mehr