FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

Größe: px
Ab Seite anzeigen:

Download "FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe"

Transkript

1 Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte:

2 1 1 Einleitung und Überblick 1 Einleitung und Überblick Dieser Versuch behandelt drei Themen: 1) Den Logikanalysator als Messgerät zur Fehleranalyse. 2) Den Pseudo-Random-Generator (PRNG). 3) Den Entwurf des PRNG als Repräsentant sequentieller Schaltungen mit VHDL. Teile dieser Versuchsanleitung entstammen der Versuchsanleitung von Prof. Dr.-Ing. Ingo H. Karlowsky. Verglichen mit dem bei Herrn Karlowsky durchgeführten Laborversuch wird hier der Fokus auf die Hardwarerealisierung in einem FPGA gelegt. V 1.0 / FPGA-Einführung und Hardwareentwurf mit VHDL

3 2 2 Der Logikanalysator Logikanalysatoren sind ein sehr nützliches Hilfsmittel bei der Erprobung und Analyse digitaler Schaltungen. Im Unterschied zu Oszilloskopen sollen sie nicht die Kurvenform der Eingangssignale möglichst authentisch wiedergeben, sondern den zeitlichen Ablauf der Eingangspegel bzw. der damit kodierten Binärsignale. Dazu wird ein Speicher benötigt, in den die Eingangssignale mit hoher Geschwindigkeit eingeschrieben werden, weiter ein auswertender Teil gebraucht, der die gespeicherte elektrische Information in ein entsprechendes Bild umsetzt. Übliche Abbildungen sind das Impuls- oder Zeitdiagramm und die Darstellung in Zahlen (binär, oktal, hexadezimal). Die Anzahl der Pins digitaler Schaltungen kann mehrere hundert betragen (z.b. hat das auf dem Experimentierboard DE2 verwendete FPGA 475 Pins). Die Anzahl der Signale, die gleichzeitig aufgezeichnet werden können hängt vom Logikanalysator (und damit den Anschaffungskosten) ab (neben weiteren Parametern wie die maximale Abtastrate und die Speichertiefe). Von besonderer Bedeutung ist die Triggerung eines Logikanalysators, das heißt, wann er damit beginnt, die Eingangsdaten in den Speicher zu schreiben. Was nützt es einem schließlich, wenn die Messung wegen "Memory overflow" beendet ist, bevor der interessierende Bereich überhaupt angefangen hat? Die im Versuch verwendeten Geräte sind eine Kombination aus Oszilloskopen und einfachen Logikanalysatoren. Professionelle Logikanalysatoren weisen typischerweise wesentlich umfangreichere Trigger- und Verarbeitungsmöglichkeiten auf. Die Triggerverfahren lassen sich grundsätzlich in zwei Gruppen einteilen: Triggerung zu einem bestimmten Zeitpunkt, Triggerung bei einem bestimmten Bitmuster an den Eingangsleitungen, "Triggerwort" genannt. Genau genommen ist die zweite Gruppe eine Untergruppe der ersten, denn auch ein Triggerwort repräsentiert einen bestimmten Zeitpunkt, der Unterschied liegt eher in der Anwendung. Ein Zeitdiagramm braucht einen Anfangszeitpunkt, der meistens durch ein einzelnes Signal festgelegt ist. Die Aufnahme einer Pegeltabelle, dargestellt z.b. durch binäre Zahlen, wird dagegen in der Mehrzahl der Fälle einem Triggerwort gestartet, ab dem weitere Zustände abzubilden sind. Das exakte Timing ist hierbei weniger wichtig. Die Übergänge sind allerdings fließend. Niemand wird es einem verbieten, ein Zeitdiagramm mit einem Triggerwort zu starten (mehrere Signale bestimmen den Anfangspunkt) oder den Anfang für die Pegeltabelle von einem Signal festlegen zu lassen, dass anders als das Triggerwort nichts mit den abzubildenden Eingangssignalen zu tun hat. FPGA-Einführung und Hardwareentwurf mit VHDL V 1.0 /

4 3 3 Der Pseudo Random Number Generator (PRNG) 3 Der Pseudo Random Number Generator (PRNG) 3.1 Einleitung Sehr häufig werden in der Technik Zufallszahlen benötigt (z.b. zur Untersuchung von dynamischen Systemen, zum Testen vom RAM-Speichern, für kryptographische Verfahren,...). Solche Generatoren lassen sich sehr gut mit rückgekoppelten Schieberegistern aufbauen. Abbildung 1 zeigt den Hardwareaufbau eines 4 Bit Generators und die 2 4-1=15 Bitmuster, die sich durch Taktung des rückgekoppelten Schieberegisters ergibt Abbildung 1: Sequenz eines 4-Bit LFSRs (linear Feedback Shift Registers) Mit jedem Clock(Takt)-Impuls wird der gesamte Inhalt des Schieberegisters um eine Stelle nach links verschoben (Multiplikation mit 2). Das Exklusiv-Oder-Verknüpfte Signal wird dabei in die Bitposition 1 (SL-Eingang) rückgekoppelt. Der Inhalt der Bitposition 4 (MSB) geht dabei verloren. Auf diese Weise entsteht mit jedem Taktimpuls ein neues Bitmuster im Schieberegister. Erst nach = 15 Taktimpulsen wiederholt sich die ursprüngliche Bitkombination (Pseudo-Zufallszahlen). Für längere Schieberegister mit geeigneter Rückkoppelung erhöht sich die Anzahl der Bitkombinationen entsprechend (2 n -1). 3.2 Optimale Rückkopplung eines Schieberegisters Für die gewählte Struktur des LFSRs (Linear Feedback Shift Register - linear rückgekoppeltes Schieberegister) mit maximaler Periodizität gibt es 2 mögliche Bitfolgesequenzen: eine Bitfolgesequenz der Länge 2 n -1 (siehe Abb. 1), eine Bitfolgesequenz der Länge 1: sämtliche Bits sind 0. Den Nullzustand muss man in jedem Fall vermeiden, wenn man ein LFSR mit maximaler Periodizität haben möchte. Bei der Realisierung mit Flipflops sollten damit nicht alle Flipsflops den Reset-Zustand 1 haben. Der Zustand des Schieberegisters (also das Bitmuster sämtlicher Register zum aktuellen Zeitpunkt) ist nicht als Pseudozufallszahl zu betrachten. Lediglich das Eingangsbit ist als Zufallsbit zu betrachten. In der hier gewählten Realisierung wird das Bit von der ersten Position nämlich einfach bis zur letzten Position des Schieberegisters propagiert. Kennt man also zum V 1.0 / FPGA-Einführung und Hardwareentwurf mit VHDL

5 3.2 Optimale Rückkopplung eines Schieberegisters 4 Zeitpunkt t das in den Registern gespeicherte Bitmuster, so wird zum Zeitpunkt t+1 (also nach der nächsten steigenden Taktflanke) dieses Muster lediglich um eine Position weiter geschoben. Dabei fällt der Wert des höchstwertigen Registers heraus und das niederwertigste Bit wird aus der Modulo-2-Verknüpfung (EXOR) anderer Register gebildet. Nun stellt sich die Frage welche Bits man per EXOR zurück koppeln muß, um ein LFSR mit maximaler Periodizität zu erhalten. Dies kann man mathematisch berechnen (Z-Transformation) oder aus Tabellen entnehmen. Die Rückkoppelungen werden mit einem Rückkopplungspolynom beschrieben. Dies ist in Abbildung 2 für ein 16-Bit LFSR mit einer Periodizität von =65535 beschrieben. Die 1 im Polynom kennzeichnet die Rückkopplung auf das 1.Bit P=x 16 +x 14 +x 13 +x Abbildung 2: 16-Bit-LFSR maximaler Periodizität und das zugehörige Rückkopplungspolynom P In Tabelle 1 sind für mehrere LFSR-Längen (n) die Rückkoppelungspolynome angegeben, die eine maximale Periodizität ergeben. Rückkoppelungspolynom Periodenlänge n 2 n 1 4 x 4 + x x 5 + x x 6 + x x 7 + x x 8 + x 6 + x 5 + x x 9 + x x 10 + x x 11 + x x 12 + x 11 + x 10 + x Tabelle 1: Rückkoppelungspolynome, die ein LFSR maximaler Periodizität liefern FPGA-Einführung und Hardwareentwurf mit VHDL V 1.0 /

6 5 3 Der Pseudo Random Number Generator (PRNG) Rückkoppelungspolynom Periodenlänge n 2 n 1 13 x 13 + x 12 + x 11 + x x 14 + x 13 + x 12 + x x 15 + x x 16 + x 14 + x 13 + x x 17 + x x 18 + x x 19 + x 18 + x 17 + x Tabelle 1: Rückkoppelungspolynome, die ein LFSR maximaler Periodizität liefern V 1.0 / FPGA-Einführung und Hardwareentwurf mit VHDL

7 3.2 Optimale Rückkopplung eines Schieberegisters 6 4 Beschreibung sequentieller Schaltungen in VHDL Im Gegensatz zu den bisher verwendeten kombinatorischen Schaltungen haben sequentielle Schaltungen ein Gedächtnis (vergleiche auch Automaten). Das Herzstück dieser sequentieller Schaltungen sind speichernde Schaltungselemente. Als speichernde Schaltungselemente werden typischerweise taktflankengesteuerte Flipflops verwendet. Es stellt sich nun die Frage wie man das Verhalten von Flipflops in VHDL beschreiben kann. Flipflops werden im architecture-body in einem Prozess beschrieben. In Abbildung 3 ist ein D- Flipflop mit asynchronem Reset beschrieben. In der Sensitivity-Liste ist das Taktsignal clk und das Signal für den asynchronen Reset (rst_n) beschrieben. D.h., dass Änderungen am Eingang d nicht zu einer Neubewertung vom Ausgangssignal q führt. Wenn das Signal rst_n 0 ist, so wird der Ausgang q auf 0 gehalten. Sollte rst_n von 1 auf 0 wechseln so wird unabhängig vom Takt der Ausgang unmittelbar auf 0 gesetzt. Ist rst_n= 1 so wird bei jeder steigenden Taktflanke der Eingang D übernommen (elsif clk event and clk= 1 - also Signalwechsel an clk und der neue Wert soll 1 sein). In dem Bereich zwischen diesem elsif und dem end if wird hier nur d auf q zugewiesen. In diesem Bereich können auch komplexere Ausdrücke stehen. Bei Automaten könnte hier z.b. der Folgezustand kodiert werden. Außerdem können hier auch mehrere Bits - wie z.b. Vektoren - zugewiesen werden. Beim PRNG kann hier nun die Schiebefunktion und die Rückkopplung beschrieben werden. Abbildung 3: Verhaltensbeschreibung eines taktflankengesteuerten D-Flipflops FPGA-Einführung und Hardwareentwurf mit VHDL V 1.0 /

8 7 5 Aufgabenstellung 5 Aufgabenstellung Es soll ein PRNG in VHDL realisiert werden. 5.1 Vorbereitung (vor dem Praktikumstermin) Bestimmen Sie die Bitmuster für ein 5-Bit LFSR mit folgenden 2 Polynomen: 1) ein Polynom, das ein LFSR mit maximaler Periodizität liefert - Zusatzfrage: Was passiert, wenn Sie mit der Bitfolgenkombination starten? 2) P=x 5 +x 1 +1: 2.1) Beginnen Sie mit der Bitkombination 11111! 2.2) Beginnen Sie mit einer Bit-Kombination, die Sie unter Punkt 2.1 nicht erreichen konnten und die nicht ist! Überprüfen Sie hier die Periodizität und protokollieren Sie die Bitfolgen! Hinweis: Die Bitfolgen lassen sich sehr einfach mit einer EXCEL-Tabelle bestimmen: pro Bit eine Spalte verwenden die Bits, die nur geschoben werden, können über eine sehr einfache Formel realisiert werden ein xor kann man über folgende Funktion realisieren: =rest(wert1+wert2;2) 5.2 VHDL-Kodierung, Synthese, FPGA-Programmierung und Erprobung 1) Wählen Sie zunächst eine Schieberegisterlänge von 5 Bits. 1.a) Editieren Sie das prng.vhd-file! Versuchen Sie zunächst den Aufbau des VHDL-Codes zu verstehen! Hinweis: Über den generischen Parameter reg_width_g können Sie in der entity prng die Schieberegisterbreite anpassen (Anmerkung: der generische Parameter reg_width_g in der entity reg_core wird automatisch in der Instanziierung überschrieben). 1.b) Passen Sie zunächst die Schieberegisterbreite an die Aufgabenstellung an! 1.c) Ergänzen Sie die architecture, die das Verhalten von prng.vhd beschreibt! Verwenden Sie ein Rückkopplungspolynom, so dass ein LFSR mit maximaler Periodizität entsteht (siehe Aufgabenvorbereitung Punkt 1)! 1.d) Schalten Sie zunächst den Takt auf den Druckschalter 0! 1.e) Erproben Sie die VHDL-Implementierung auf dem DE2-Board! Überprüfen Sie die Periodizität des LFSRs und protokollieren Sie die einzelnen Bitfolgen bzw. vergleichen Sie die Sequenz mit Ihren Erwartungswerten aus der Aufgabenvorbereitung! 1.f) Ändern Sie das Rückkopplungspolynom wie folgt: - P=x 5 +x 1 +1 (vergleiche Versuchsvorbereitung Punkt 2) - Überprüfen Sie die Periodizität und protokollieren Sie die Bitfolgen bzw. vergleichen Sie die Sequenz mit Ihren Erwartungswerten aus der Aufgabenvorbereitung! - Ändern Sie die den Reset-Wert des Schieberegisters, auf eine Bit-Kombination, die V 1.0 / FPGA-Einführung und Hardwareentwurf mit VHDL

9 5.3 Hinweise zum Protokoll 8 Sie bei diesem Polynom nicht erreichen konnten und die nicht ist! Überprüfen Sie hier die Periodizität und protokollieren Sie die Bitfolgen bzw. vergleichen Sie die Sequenz mit Ihren Erwartungswerten aus der Aufgabenvorbereitung! 2) Ändern Sie den VHDL-Code wie folgt: - Schieberegister mit 15 Flipflops (Rückkopplungspolynom ändern!) - Verwendung eines auf dem DE2-Board generierten 27MHz Clock 2.a) Implementieren Sie die Hardware auf das FPGA! 2.b) Beobachten Sie das Verhalten mit dem Logikanalysator! 2.c) Triggern Sie auf eine beliebige 15-Bit-Kombination! Welches ist das Vorgänger- und das Nachfolger-Bitmuster? Hinweise: Das Pin-Map-File muß die benötigten Pins enthalten! 5.3 Hinweise zum Protokoll Folgende Punkte müssen im Protokoll enthalten sein: Dokumentation des Source-Codes Bitfolgen zu Aufgabe 1 Antworten zu o.a. Fragen (2.c) Dokumentation des Logikanalysatorbilds FPGA-Einführung und Hardwareentwurf mit VHDL V 1.0 /

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Praktikum Digitaltechnik SS Versuch 2

Praktikum Digitaltechnik SS Versuch 2 Praktikum Digitaltechnik SS 2011 Versuch 2 1 Praktikum Digitaltechnik SS 2011 Versuch 2 Stand: 26. 4. 11 Aufgabe 1: Bauen Sie einen 4-Bit-Asynchronzähler auf Grundlage von JK-Flipflops Wir bauen zunächst

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine.

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine. Fachbereich Physik Elektronikpraktikum 10 Flipflops und Zähler Stichworte zur Vorbereitung: Flankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung: Zeichnen Sie

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Schieberegister Andreas Zbinden Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung In diesem Dokument werden die Grundlagen von Schieberegistern und von

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus Digitales Design 2. Juni 2015 Die Arbeitszeit beträgt 1,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Gruppe: Teilnehmer: Vortestate: Testat:

Gruppe: Teilnehmer: Vortestate: Testat: Praktikum Hardware-Grundlagen igitale Schaltungen Gruppe: Teilnehmer: Vortestate: Testat: Vorbereitung: Vergleicher: RS-Latch: -Latch: -FF: Schieberegister: Benutzte Geräte: igitale Schaltungen 1. Überblick

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Electronic Design Automation (EDA) Register-Transfer-Synthese

Electronic Design Automation (EDA) Register-Transfer-Synthese Electronic Design Automation (EDA) Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer-Synthese Makrozellgenerator Beispiel Addierer (1)... (2)... (3)... (4) Beispiel Speicher Synthese

Mehr

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein.

3.1 Schaltungselemente 129. b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 3.1 Schaltungselemente 129 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines 1 Bit 4-auf-1 Multiplexers aus Logikgattern ein. 2 1 0 1 1 130 3 Arithmetische Schaltungen emultiplexer emultiplexer

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik TECHNISCHE FAKULTÄT 11. Übung zur Vorlesung Grundlagen der Technischen Informatik Aufgabe 1 (VHDL) Gegeben ist ein binärer Taschenrechner (siehe Abb. 1), der als Eingabe die Tasten 0, 1, +, - und = und

Mehr

2.2 Register-Transfer-Synthese

2.2 Register-Transfer-Synthese 2.2 Register-Transfer-Synthese Register-Transfer-Synthese Überblick digitale Synthese Register-Transfer- Synthese Makrozellgeneratoren Beispiel Addierer Beispiel Speicher Synthese endlicher Automaten Zustandskodierung

Mehr

Digitaltechnik Grundlagen 8. Register

Digitaltechnik Grundlagen 8. Register 8. Register Version 1.0 von 02/2018 Register Gliederung: - Grundlagen - Auffangregister - Schieberegister - Einführung - Seriell/Parallel- und Parallel/Seriell-Wandler [Quelle: Fricke, K.: Digitaltechnik,

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

DIGITALTECHNIK 10 SCHIEBEREGISTER

DIGITALTECHNIK 10 SCHIEBEREGISTER Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER Inhalt Seite 2 von 11 1 SCHIEBEREGISTER... 3 2 D-FLIPFLOP... 3 2.1 SCHIEBERICHTUNG RECHTS... 3 2.2 SCHIEBERICHTUNG LINKS... 5 3 TIMINGBEDINGUNGEN... 6 4

Mehr

Zur Multiplikation von Gleitkommazahlen müssen die Mantissen inkl. führender 1, als Festkommazahlen multipliziert werden.

Zur Multiplikation von Gleitkommazahlen müssen die Mantissen inkl. führender 1, als Festkommazahlen multipliziert werden. 70 Arithmetische Schaltungen Multiplikation vorzeichenbehafteter Zahlen Zur Multiplikation vorzeichenbehafteter Zahlen (er-komplement) kann auf die Schaltung für vorzeichenlose Multiplikation zurückgegriffen

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Design 1. Protokoll. Stefan Krulj, Markus Schütz,

Design 1. Protokoll. Stefan Krulj, Markus Schütz, Design 1 Protokoll Stefan Krulj, 0826564 Markus Schütz, 0725043 Advanced Digital Design Design 1, Stefan Krulj, Markus Schütz Anmerkung Aufgrund diverser Probleme mit dem Development-Board DN8000K10PSX

Mehr

Praktikum Digitaltechnik SS Versuch 3 (alte Art)

Praktikum Digitaltechnik SS Versuch 3 (alte Art) Praktikum Digitaltechnik SS 2010 Versuch 3 a.a. 1 Versuchsziele: Praktikum Digitaltechnik SS 2010 Versuch 3 (alte Art) Stand: 1. 6. 10 Kennenlernen elementarer sequentieller Schaltungen (Latches, Flipflops,

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/28 2012-02-29 Flip-Flops Frage: wie kann man Werte speichern?

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK

TECHNISCHE UNIVERSITÄT CHEMNITZ FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT CHEMNITZ FAULTÄT FÜR INFORMATI Hardwarepraktikum im WS / Versuch 5 Sequentielle Systeme III Gruppe 8 Janina Bär Christian Hörr Robert Rex Chemnitz, 8. Januar Hardwarepraktikum Sequentielle

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Praktikum Digitaltechnik SS Versuch 1

Praktikum Digitaltechnik SS Versuch 1 Praktikum Digitaltechnik SS 2011 Versuch 1 1 Versuchsziele: Praktikum Digitaltechnik SS 2011 Versuch 1 Stand: 16. 3. 11 Kennenlernen elementarer sequentieller Schaltungen (Latches, Flipflops, Zähler, Schieberegister),

Mehr

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli)

Kapitel 5: Schieberegister. Anwendungen von Schieberegistern. Grundschaltung eines Schieberegisters. Kapitelverzeichnis (Buch Künzli) Kapitelverzeichnis (Buch Künzli). Begriffe und efinitionen. Kombinatorische Logik und Schaltalgebra. Speicherbausteine (Flip-Flops). Zähler 5. Register und Schieberegister 6. Automaten. Programmierbare

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige

Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Tutorial Vivado/Verilog Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw

Martin V. Künzli Marcel Meli. Vom Gatter zu VHDL. Eine Einführung in die Digitaltechnik. : iasms!wil5i-8sb*l!f. 3. Auflage. zh aw Martin V. Künzli Marcel Meli Vom Gatter zu VHDL Eine Einführung in die Digitaltechnik : iasms!wil5i-8sb*l!f 3. Auflage zh aw Inhaltsverzeichnis 1. Begriffe und Definitionen 1 1.1 Logische Zustände 1 1.2

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc.

LED. Elektronikpraktikum. 10 Flip-Flops und Zähler. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. 10.1 JK-Flipop. V cc. Fachbereich Physik Elektronikpraktikum 10 Flip-Flops und Zähler Stichworte Informieren Sie sich über ankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung Zeichnen

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Boolesche Funktionen, Schaltnetze und Schaltwerke Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für

Mehr

Lösung 4.1 Stuck-at-Fehler

Lösung 4.1 Stuck-at-Fehler Lösung 4. Stuck-at-Fehler Zuerst stellen wir die Wertetabelle für die gegebene Schaltung auf: Tabelle für c s-a-: a b c d e f g h i ( c d) ( e f) ( g h) Tabelle für f s-a-: a b c d e f g h i ( c d) ( e

Mehr

14.3 Kontrollogik. Allgemeines: Kontrollogik wird in 3 Stufen realisiert: Clock - Erzeugung. 2 Uhrzeit. PALs. /ck. Kontrollsignale.

14.3 Kontrollogik. Allgemeines: Kontrollogik wird in 3 Stufen realisiert: Clock - Erzeugung. 2 Uhrzeit. PALs. /ck. Kontrollsignale. 14.3 Kontrollogik Bernd Becker Technische Informatik II Allgemeines: Kontrollogik wird in 3 Stufen realisiert: 1 Clock - Erzeugung /ck ck 2 Uhrzeit 3 s 0, s 1, E 3 PALs Kontrollsignale I[31:24] Befehlsdekodierung

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 14/15 1 Kombinatorische Logik

Mehr