DIGITALTECHNIK 10 SCHIEBEREGISTER

Größe: px
Ab Seite anzeigen:

Download "DIGITALTECHNIK 10 SCHIEBEREGISTER"

Transkript

1 Seite 1 von 11 DIGITALTECHNIK 10 SCHIEBEREGISTER

2 Inhalt Seite 2 von 11 1 SCHIEBEREGISTER D-FLIPFLOP SCHIEBERICHTUNG RECHTS SCHIEBERICHTUNG LINKS TIMINGBEDINGUNGEN SERIELL-EIN/SERIELL-AUS SCHIEBEREGISTER UMSCHALTBARE SCHIEBERICHTUNG SERIELL-EIN/PARALLEL-AUS SCHIEBEREGISTER PARALLEL-EIN/SERIELL-AUS SCHIEBEREGISTER... 11

3 Seite 3 von 11 1 Schieberegister Unter einem Schieberegister versteht man eine kettenförmige Verschaltung von Flipflops. Charakteristisch hierbei ist, dass der Ausgang eines Flipflops mit dem Eingang des unmittelbar nachfolgenden Flipflops verbunden ist. Mit jeder aktiven Taktflanke übernimmt das Flipflop Q1 den am Eingang X anstehenden Wert, während die übrigen jeweils den Ausgangswert des unmittelbar vorhergehenden Flipflops übernehmen. Nach jeder aktiven Taktflanke ist und damit das aus den Signalen X, Q1, Q2,, Qn-1 gebildete Bitmuster um eine Position nach rechts verschoben, womit ein am Eingang X anstehender Wert nach genau n-1 Taktperioden am Ausgang Qn auftritt. 2 D-Flipflop Vorzugsweise werden bei Schieberegistern 1-taktflankengesteuerte D-Flipflops eingesetzt. 2.1 Schieberichtung rechts Schaltung:

4 Zeitablaufdiagramm Seite 4 von 11

5 Seite 5 von Schieberichtung links Schaltung: Zeitablaufdiagramm

6 Seite 6 von 11 3 Timingbedingungen Damit die Funktionalität eines Schieberegisters gewährleistet ist, müssen die Timingbedingungen der eingesetzten Flipflops eingehalten werden. Bei taktflankengesteuerten Flipflops sind das die Setup-Zeit t S und die Haltezeit t H. Berücksichtigt man dabei die Schaltverzögerung t CO der Flipflops, so ergibt sich zu Einhaltung der Haltezeit die Bedingung: t CO > t H Für die Taktzykluszeit ergibt sich die Bedingung: wobei f max die maximal zulässige Clockfrequenz der eingesetzten Flipflops angibt. Timing: Zu bemerken ist, dass diese Bedingungen nur unter der Voraussetzung gelten, dass bei der Taktung keine Clock-Skew-Effekte auftreten. Skew-Effekte treten immer dann auf, wenn auf der Taktleitung Signalverzögerungen auftreten oder die einzelnen Flipflops über verschiedene Takttreiber mit dem Taktsignal versorgt werden. In diesem Fall treten die aktiven Taktflanken an den einzelnen Flipflops nicht mehr gleichzeitig auf und das Schieberegister arbeitet nicht mehr als ein streng synchrones Schaltwerk. Bei den Timingbedingungen lässt sich ein Clock-Skew mit der Skew-Zeit t Skew dadurch berücksichtigen, indem man die Setup-Zeit und Haltezeit der Flipflops um den Wert der Skew-Zeit vergrössert.

7 Seite 7 von 11 Da ein Schieberegister mit einer unidirektionalen Betriebsart eine eindeutige Datenflussrichtung aufweist, ergibt sich eine sehr wirksame und einfache Methode um die fehlerhaften Auswirkungen der Skew-Effekte auszuschalten dadurch, dass Taktversorgung und Datenflussrichtung entgegengerichtet sind. Diese Methode lässt sich natürlich nicht bei Schieberegistern mit einer umschaltbarer Shift-Richtung einsetzen. Anti-Skew-Taktversorgung: Bezüglich des Ein/Ausgangsverhaltens unterscheidet man zwischen drei verschiedenen Betriebsarten: SISO SIPO PISO : Seriell In Seriell Out : Seriell In Parallel Out : Parallel In Seriell Out

8 Seite 8 von 11 4 Seriell-Ein/Seriell-Aus Schieberegister Am Beispiel des Schaltkreises 7494 zeigt die Struktur eines Seriell-Ein/Parallel-Aus Schieberegisters (SISO: serial input serial output) mit dem seriellen Dateneingang DS und dem seriellen Datenausgang Qd. Daneben kann dieses Schieberegister auch von zwei Datenquellen aus parallel gesetzt und durch eine Master Reset (MR) gelöscht werden. Das Laden und Löschen erfolgt taktunabhängig. Schaltungsaufbau: Funktionstabelle:

9 Seite 9 von 11 5 Umschaltbare Schieberichtung Bekannt sind SISO- Schieberegister auch mit umschaltbarer Schieberichtung Schaltung: Einsatzgebiete von SIPO-Schieberegistern sind: Verzögerungsschaltungen Synthese von pseudo Zufallszahlen Verwürfelung von Daten (Scrambler, Spread Spectrum Systeme) Signaturanalyse

10 Seite 10 von 11 6 Seriell-Ein/Parallel-Aus Schieberegister 8-Bit Seriell-Ein/Parallel-Aus Schieberegister 74164

11 Seite 11 von 11 7 Parallel-Ein/Seriell-Aus Schieberegister 8-Bit Parallel-Ein/Seriell-Aus Schieberegister Ein wichtiges Einsatzgebiet für das Parallel-Ein/Seriell-Aus und Seriell-Ein/Parallel-Aus Schieberegister ist der Parallel/Serien- und Serien/Parallel-Wandler einer Bit-seriellen Datenübertragungseinrichtung (z.b. RS 232). Hierbei ist der Parallel/Serien-Wandler der Datensender, der ein paralleles Datenwort Bit-seriell auf die Übertragungsstrecke aussendet. Am Ende der Übertragungsstrecke werden die ankommenden Bits in einem Serien/Parallel-Wandler aufgefangen und stehen dann als paralleles Datenwort (Byte) zur Weiterverarbeitung zur Verfügung.

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Digitaltechnik Grundlagen 8. Register

Digitaltechnik Grundlagen 8. Register 8. Register Version 1.0 von 02/2018 Register Gliederung: - Grundlagen - Auffangregister - Schieberegister - Einführung - Seriell/Parallel- und Parallel/Seriell-Wandler [Quelle: Fricke, K.: Digitaltechnik,

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Sequentielle Schaltungen (10a)

Sequentielle Schaltungen (10a) equentielle chaltungen (a) chaltung des -FF: Master lave (lock) Vorteil: das Problem mit dem instabilen Zustand ist beseitigt Nachteil: längere (verzögerte) chaltungsdurchlaufzeit + höherer Aufwand (2

Mehr

Klausuraufgaben: Flip-Flops

Klausuraufgaben: Flip-Flops Klausuraufgaben: Flip-Flops - Seite 1 Klausuraufgaben: Flip-Flops (1.) Nennen Sie 3 verschiedene Flip-Flops. (2.) Was bezeichnet man bei RS-Flip-Flop als den verbotenen Zustand? (3.) Zeichnen Sie ein RS

Mehr

Digital-Technik. Grundlagen und Anwendungen. Teil IV

Digital-Technik. Grundlagen und Anwendungen. Teil IV Digital-Technik Grundlagen und Anwendungen Teil IV 1 Übersicht 10-11 10 Zeitabhängige binäre Schaltungen 10.1 Bistabile Kippstufen (Flipflops) 10.2 Zeitablaufdiagramme 10.3 Monostabile Kippstufen 10.4

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine.

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine. Fachbereich Physik Elektronikpraktikum 10 Flipflops und Zähler Stichworte zur Vorbereitung: Flankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung: Zeichnen Sie

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Praktikum Digitaltechnik SS Versuch 3 (alte Art)

Praktikum Digitaltechnik SS Versuch 3 (alte Art) Praktikum Digitaltechnik SS 2010 Versuch 3 a.a. 1 Versuchsziele: Praktikum Digitaltechnik SS 2010 Versuch 3 (alte Art) Stand: 1. 6. 10 Kennenlernen elementarer sequentieller Schaltungen (Latches, Flipflops,

Mehr

Digitaltechnik Grundlagen 9. Zählschaltungen

Digitaltechnik Grundlagen 9. Zählschaltungen 9. Zählschaltungen Version 1.0 von 02/2018 Zählschaltungen Gliederung: - Grundlagen - Synchrone Zähler - Einführung - Systematischer Entwurf 2 Zählschaltungen - Grundlagen Ebenfalls häufige Anwendung von

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Praktikum Digitaltechnik SS Versuch 2

Praktikum Digitaltechnik SS Versuch 2 Praktikum Digitaltechnik SS 2011 Versuch 2 1 Praktikum Digitaltechnik SS 2011 Versuch 2 Stand: 26. 4. 11 Aufgabe 1: Bauen Sie einen 4-Bit-Asynchronzähler auf Grundlage von JK-Flipflops Wir bauen zunächst

Mehr

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden sequentielle Schaltungen: digitale Schaltung mit inneren Rückführungen sie haben eine zeitsequentielle Arbeitsweise, wobei die einzelnen diskreten Zeitpunkte durch innere Zustände repräsentiert werden

Mehr

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 Automaten 1/28 2012-02-29 Flip-Flops Frage: wie kann man Werte speichern?

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

4. Digitale Netzwerke

4. Digitale Netzwerke 4 Digitale Netzwerke Folie 1 4. Digitale Netzwerke 4.1 Allgemeine Einführung Es werden zwei Arten logischer Schaltungen (digitaler Netzwerke) unterschieden (vgl. Abbildung 4.1): kombinatorische Schaltungen

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB

Hard- und Softwaretechnik. Schieberegister. Andreas Zbinden. Gewerblich-Industrielle Berufsschule Bern, GIBB 4. Semester Hard- und Softwaretechnik Schieberegister Andreas Zbinden Gewerblich-Industrielle Berufsschule Bern, GIBB Zusammenfassung In diesem Dokument werden die Grundlagen von Schieberegistern und von

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Arduino für FunkAmateure

Arduino für FunkAmateure Arduino für FunkAmateure Arduino Einführung Teil 10 7-Segment LED mit Schieberegister Wie gehe ich am besten vor? 1. Was will ich machen? 2. Bauteile 3. Aufbau 7-Segment Anzeige I und II 4. Aufbau Schieberegister

Mehr

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen

Elektronikerin. Beispielhafte Situation. integriert integriert. Semester. Lernkooperation Betrieb Bemerkungen. ID Ressourcen Lehrplan 06 / Hard- und Softwaretechnik /. Aus diversen Signalverläufen erkennen, ob es e sich um ein analoges oder digitales Signal handelt. Grundbegriffe und Grössen der Digitaltechnikk im Umgang mit

Mehr

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt. Schaltwerke Bisher haben wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. iese Schaltnetze

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Inhalt Anwendungsbeispiel für eine ODER- Funktion Einleitung... 9

Inhalt Anwendungsbeispiel für eine ODER- Funktion Einleitung... 9 Inhalt Einleitung............................. 9 Kurze Einführung in die Grundlagen der digitalen Elektronik........................ 10 1.1 Was versteht man unter analog und was unter digital?.... 10 1.2

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 3. Vorlesung Klaus Kasper Inhalt MasterSlave FlipFlop Zustandsdiagram FlipFlop Zoo Flankensteuerung JKFlipFlop Zyklische Folgeschaltung Digitaltechnik 2 2 MasterSlave FlipFlop Diskutieren

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Klausur - Digitaltechnik

Klausur - Digitaltechnik Klausur - Digitaltechnik Aufgabe : Testen integrierter Schaltungen: D-Algorithmus (3 Punkte: a 2, b, c 5, d 3, e 2) B = S N A >= O OR Der Ausgang des N-Gatters soll auf einen Stuck-AT--Fehler überprüft

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1 Schaltwerke (13) - Automaten (13.1) α SCHALTWERKE (13) [04.06.02, Folie 481, Übungen 07] Schaltwerke sind wesentliche Funktionseinheiten eines Computers. Beispiele hierfür sind das Rechen- und das Leitwerk

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Sequenzielle Schaltwerke

Sequenzielle Schaltwerke Informationstechnisches Gymnasium Leutkirch Sequenzielle Schaltwerke Informationstechnik (IT) Gemäß Bildungsplan für das berufliche Gymnasium der dreijährigen Aufbauform an der Geschwister-Scholl-Schule

Mehr

Sequenzielle Schaltungen (1)

Sequenzielle Schaltungen (1) Sequenzielle Schaltungen () Sequenzielle Schaltung: Schaltung, deren Ausgänge sowohl von den momentan anliegenden als auch von früheren Eingangsbelegungen abhängen. Wesentliche Elemente einer CPU wie Register,

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik Elektronik/Mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel Flip-Flops im Selbststudium aneignen zu können. Offene Fragen klären

Mehr

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen 6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen Ziele dieses Kapitels sind: Lernen wie digitale Speicherelemente aus elementaren Logikgattern aufgebaut werden Unterschiede zwischen asynchronen

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Entwurf asynchroner Automaten

Entwurf asynchroner Automaten 5.2.4. Entwurf asynchroner Automaten Die kombinatorische Logik, die in einem synchronen Automaten die Belegungen der Dateneingänge der Flipflops bereitstellt, muß für jedes Flipflop bei jedem Triggerereignis

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock

Handout zum Vortrag: Einfache integrierte digitale Schaltungen von Andreas Bock Index: 0. Wiederholung Flip-Flop: 0.1 D-Flip-Flop 0.2 JK-FlipFlop 1. Schieberegister 1.1 einfaches Schieberegister 1.2 Schieberegister mit parallelen Ladeeingängen 2. Zähler 2.1 Asynchroner Dualzähler

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Laborübungen LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE

Laborübungen LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE LABORÜBUNGEN DIGITALTECHNIK VERDRAHTUNGSANWEISUNGEN UND LEGENDE VERDRAHTUNGSANWEISUNGEN Alle ICs werden mit +5V (V CC ) und 0V (GND) versorgt. Dazu ist jeweils der fixe 5V-Teil des Netzgerätes zu verwenden.

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard)

Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard) Seite 1 Sommersemester 2016 Hazards Beispiel: ein 2:1 MUX erzeugt einen statischen Schaltungshazard (Logikhazard) x 1 x 0 s U & 1 U 0 s & 1 v ³1 Dt 2Dt 2Dt y t1 Eingang schaltet s=0 t1 + Δt s schaltet

Mehr

Lerntext zum Kapitel Digitaltechnik

Lerntext zum Kapitel Digitaltechnik lektronik/mikroprozessoren Digitaltechnik 1 zum Kapitel Digitaltechnik Hallo Studierende, der folgende dient dazu, sich das Kapitel lip-lops im Selbststudium aneignen zu können. Offene ragen klären Sie

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen

Digitaltechnik Laborversuch 1. FlipFlops. Wichtige Informationen Digitaltechnik Laborversuch 1 FlipFlops Name: vereinbarter Termin 2ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Bild 1: Grundlegende Verbindungen des SPI-Interfaces

Bild 1: Grundlegende Verbindungen des SPI-Interfaces Das SPI-Interface Die SPI-Schnittstelle wird am häufigsten für synchrone Datenübertragung benutzt, weil sie verhältnismäßig hohe Übertragungsraten mit vielseitigen Konfigurationen ermöglicht. Die Schnittstelle

Mehr

Praktikum Digitaltechnik SS Versuch 1

Praktikum Digitaltechnik SS Versuch 1 Praktikum Digitaltechnik SS 2011 Versuch 1 1 Versuchsziele: Praktikum Digitaltechnik SS 2011 Versuch 1 Stand: 16. 3. 11 Kennenlernen elementarer sequentieller Schaltungen (Latches, Flipflops, Zähler, Schieberegister),

Mehr

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack)

BA-Mannheim, 2. Semester IT Digitaltechnik (Hr. Schillack) Dies ist nun also die freundlicherweise von mir mitgetippte Fassung der Vorlesung Digitaltechnik (2. Semester) bei Hr. Schillack an der BA-Mannheim. Ich hoffe ihr könnt damit was anfangen. Fehler, Kritik,

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Digitale Netzwerke... 2

Digitale Netzwerke... 2 4. Digitale Netzwerke... 2 4.1 Allgemeine Einführung... 2 4.2 Kombinatorische Schaltungen... 4 4.2.1 Definition Schaltnetze... 4 4.2.2 Schaltnetze mit nur einem Gattertyp... 6 4.2.3 Realisierung von Schaltnetzen...

Mehr

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe

FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Praktikum Digitaltechnik FPGA: Pseudo Random Generator (PRNG) von Prof. Dr.-Ing. Dirk Rabe Gruppe: Teilnehmer: Vortestat: Testat: Benutzte Geräte: 1 1 Einleitung und Überblick 1 Einleitung und Überblick

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar Arbeitsblatt : Modulo-3-Vorwärtszähler der im Dualcode (Ausgang A1-A0 ) die positiven Flanken des Eingangssignals E zählt. Für den ersten Entwurf stehen

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur 06.05.08. Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

3.1 Schaltwerke als Reihenschaltung von Flipflops

3.1 Schaltwerke als Reihenschaltung von Flipflops Kapitel 3 Schaltwerke 3.1 Schaltwerke als Reihenschaltung von Flipflops Grundsätzlich lassen sich Flipflops in Schaltwerken in beliebigen Konfigurationen verschalten. Viele technisch wichtige Anwendungen

Mehr