Grundlagen der Technischen Informatik

Größe: px
Ab Seite anzeigen:

Download "Grundlagen der Technischen Informatik"

Transkript

1 Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 4 Mikroprogrammierung Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn des Versuchs sind die Fragen, die mit F1 bis Fn gekennzeichnet sind, zu beantworten. Die mit A1 bis An gekennzeichneten Aufgaben sind während des Praktikums zu bearbeiten. Prof. Dr.-Ing. Axel Hunger Dipl.-Ing. Joachim Zumbrägel Universität Duisburg-Essen Fakultät Ingenieurwissenschaften Fachgebiet Technische Informatik Copyright (C) Technische Informatik

2 Einführung In den bisherigen Versuchen haben wir uns mit einfachen Gatterbausteinen sowie Flip-Flops beschäftigt. Im vorliegenden Versuch wollen wir uns mit einem weiteren Typ von digitalen Bausteilen auseinandersetzen, dem ROM. Anhand einer Ampelsteuerung, soll das Prinzip sowie die Programmierung eines ROMs verdeutlicht werden. 1 Spezifikation der Ampelsteuerung Im Rahmen des vorliegenden Versuchs soll eine Steuerung für 4 Ampeln entwickelt und simuliert werden. Die Ampelanlage (gemeint sind alle 4 Ampeln) befindet sich an einer Kreuzung (siehe Abbildung 1.1 ) und durchläuft periodisch eine wohldefinierte Sequenz von insgesamt 16 Zuständen. Das bedeutet, dass - gemäß dem normalen Verhalten einer Ampelanlage - die Sequenz immer wieder durchlaufen wird, so dass folglich nach Zustand 16 wieder der 1. Zustand eingenommen wird. Jede Ampel verfügt über 3 Signallampen (Rot, Gelb, Grün), wobei jede der Ampeln die uns wohlbekannten 4 Phasen rt (rot), rtge (rot und gelb), gn (grün) und ge (gelb) durchläuft. Abbildung 1.1: Kreuzung mit 4 Ampeln Wenn, unter Beachtung der Verkehrsregeln, die verschiedenen Phasen der Ampeln notiert werden, erhält man das folgende in Tabelle 1-1 dargestellte Schema. So beschreibt z.b. der unter Nummer 1 angegebene Zustand den Fall, dass die beiden Ampeln A und B auf rot stehen und die Ampeln C und D grün zeigen. Entsprechend wurde der Zustand 3 für die Linksabbieger, die von der Straße mit der Ampel D kommen, vorgesehen. Um die Kreuzung zu räumen, wurde der Zustand Nr. 5 eingeführt. Wie der Tabelle 1-1 ebenfalls zu entnehmen ist, liegen die verschiedenen Zustände der Ampelanlage für eine bestimme Zeitdauer vor. Die Zeitdauer für jeden Zustand ist in der Spalte "Zeitdauer" eingetragen. Da in der Realität bei einer Ampelanlage die verschiedenen Ampelphasen unterschiedlich lang dauern, wurden die fünf verschiedenen Zeiten t 1, t 2, t 3, t 4, t 5. definiert, wobei ausgehend von der Zeitbasis t 1 folgendes gilt: t 2 = 2 t 1 t 4 = 5 t 1 t 3 = 4 t 1 t 5 = 20 t 1 Bei der Realisierung der Ampelsteuerung ist darauf zu achten, dass die verschiedenen Ampelphasen-Kombinationen jeweils für die angegebene Zeitdauer angezeigt werden. 2/14

3 Ampel A B C D Zeitdauer Nr. 1 rt rt gn gn t5 2 rt rt ge gn t2 3 rt rt rt gn t4 4 rt rt rt ge t2 5 rt rt rt rt t3 6 rt rtge rt rt t1 7 rt gn rt rt t4 8 rtge gn rt rt t1 9 gn gn rt rt t5 10 gn ge rt rt t2 11 gn rt rt rt t4 12 ge rt rt rt t2 13 rt rt rt rt t3 14 rt rt rtge rt t1 15 rt rt gn rt t4 16 rt rt gn rtge t1 Tabelle 1-1:Ampelphasen für den Normalbetrieb F 1: Wie viele unterschiedliche Kombinationen von Ampelphasen treten überhaupt auf? Wie viele Bits sind notwendig, um diese zu codieren? F 2: Wie viele verschiedene Leuchtkombinationen treten bei einer einzigen Ampel auf? Wie viele Bits sind notwendig, um diese Kombinationen zu codieren? 2 Entwicklung der Ampelsteuerung Die Steuerung der zuvor beschriebenen Ampelanlage soll nun u.a. unter Verwendung eines Festwertspeichers (ROM=Read Only Memory) entwickelt werden. Anhand der Spezifikation der einzelnen Ampelphasen ist das ROM so zu programmieren, dass es die Steuersignale für die einzelnen Ampeln enthält bzw. als Ausgangssignal zur Verfügung stellt. Die Adressierung des ROM soll durch einen Zähler realisiert werden. Die Ansteuerung der einzelnen Ampeln soll jeweils über einen Decoder erfolgen, der die Ausgangssignale des ROMS als Eingangssignal erhält und diese so decodiert, dass mit den daraus resultierenden Ausgangssignalen direkt die einzelnen Lampen einer Ampel (Rot, Gelb, Grün) angesprochen werden können. Für die zu entwickelnde Ampelsteuerung ergibt sich somit das in Abbildung 2.1 gezeichnete Blockschaltbild. 3/14

4 OE Abbildung 2.1: Blockschaltbild der Ampelsteuerung 2.1 Grundlagen zu den verwendeten Bauelementen Bevor wir mit der Entwicklung der Schaltung beginnen, sollen zuvor die in der Schaltung verwendeten Bauelemente kurz erläutert werden ROM Bei einem ROM (Read Only Memory) handelt es sich um einen Festwertspeicher, der gelesen, aber im normalen Betrieb nicht beschrieben werden kann. Festwertspeicher werden in der Regel einmalig programmiert und sind in der Lage eine bestimmte Anzahl (2 n ) von Daten-Worten der Länge m (z.b. m=8 => Speicherung eines Wortes mit 8-Bit Wortbreite) dauerhaft zu speichern. Diese Datenworte werden im ROM in adressierbaren Speicherzellen abgelegt. Um den Inhalt einer Speicherzelle an den Ausgängen des ROMs auslesen zu können, muss lediglich die entsprechende Adresse im Dualcode an den Adresseingängen des ROMs angelegt werden. Zur Kennzeichnung der Speicherkapazität verwendet man den Ausdruck 2 n x m, so kennzeichnet beispielsweise die Bezeichnung 1024 x 8 einen Baustein, der 2 10 = 1024 Worte mit einer Breite von 8-Bit speichern kann. Folglich muss ein solcher Baustein über 10 Adresseingänge (A0-A9) verfügen, um den gesamten Adressraum ansprechen zu können, sowie über 8 Datenausgänge O0-O7, um das gespeicherte Wort am Ausgang zur Verfügung stellen zu können Bit Zähler Zur Realisierung der ROM-Adressierung soll ein Zähler genutzt werden, der mit Hilfe des Bausteins aufgebaut werden soll. Bei diesem Baustein handelt es sich um einen programmierbaren Baustein, der bei entsprechender Beschaltung in verschiedene Modi versetzt werden kann. So kann dieser Baustein beispielsweise als 4 Bit-Zähler arbeiten, wobei an den Ausgängen Qa Qd (niederwertigstes Bit Qa, höchstwertigstes Bit Qd) alle Werte von 0-15 im Dualcode realisiert werden (siehe dazu auch die Funktionstabelle im Datenblatt) Decoder Unter einem Decoder oder Dekodierer versteht man einen Umsetzer (Konverter), wobei dessen Realisierung je nach Anwendungsgebiet in Hard- oder Software erfolgen kann. In der 4/14

5 digitalen Schaltungstechnik beispielsweise, kann ein Dekodierer ein Schaltnetz sein, das dem Aufspalten von Datenpfaden dient. So kann ein Dekodierer, der über n Eingänge verfügt, die 2 n möglichen Bitkombinationen (die am Eingang anliegen können) separieren und auf 2 n Ausgangsleitungen verteilen. X1 X2 Y1 Y2 Y3 Y X1 X2 2x4 Decoder Y1 Y2 Y3 Y4 Tabelle 2-1: Wertetabelle eines 2x4 Decoders Abbildung 2.2: 2x4 Decoder 2.2 Codierung der Ampelzustände und -phasen für das ROM Die Aufgabe besteht nun darin, die verschiedenen Zustände der Ampelanlage bzw. die Ampelphasen-Kombinationen aus Tabelle 1-1 so zu codieren, dass wir sie in einem ROM abspeichern können, wobei die jeweilige Zeitdauer der einzelnen Ampelphasen-Kombination entsprechend berücksichtigt werden muss. Bevor wir mit der Codierung der verschiedenen Zustände der Ampelanlage beginnen, müssen wir uns zunächst der Codierung der Ampelphasen einer einzelnen Ampel widmen. Dazu wird die in Tabelle 2-2 angegebene Vereinbarung getroffen. Folglich benötigen wir 2 Bit zur Codierung der 4 Phasen einer Ampel. D 1 D 0 rot 0 0 gelb 1 1 grün 0 1 rotgelb 1 0 Tabelle 2-2: Codierung der Phasen einer Ampel F 3: Wie viele Bits werden benötigt, um die Phasen von 4 Ampeln zu codieren? Welche Wortbreite muss folglich unser ROM umfassen? Die unterschiedliche Dauer der verschieden Ampelphasenkombinationen (siehe Tabelle 1-1) lässt sich einfach realisieren, indem wir ausgehend von der kleinsten Zeiteinheit t 1, die länger andauernden Ampelphasen entsprechend mehrfach speichern und zwar gemäß ihrem Faktor bezogen auf t 1. Das heißt die 1. Phase (Nr.1) aus Tabelle 1-1:Ampelphasen für den Normalbetrieb wird dementsprechend zwanzigmal in das ROM gespeichert, die 2. Phase (Nr.2) nur zweimal. Die nachfolgende Tabelle erläutert dieses Prinzip: Adresse Inhalt 0 Nr. 1 1 Nr. 1 : : 19 Nr.1 20 Nr Nr Nr Nr. 3 : : 5/14

6 F 4: Wie viele Speicherplätze sind notwendig, um die gesamten in Tabelle 1-1 angegebenen Kombinationen in einem ROM speichern zu können? F 5: Codieren Sie die in Tabelle 1-1 angegebenen Kombinationen unter Verwendung von Tabelle 2-2 und vervollständigen Sie die nachfolgende Tabelle! Ampel D C B A ROM-Value ROM-Adressen Ausgang O 7 O 6 O 5 O 4 O 3 O 2 O 1 O 0 (Dezimal/ (Bereich: DEZIMAL/ ROM Hexadezimal) Anzahl: HEXADEZIMAL) Eingang Decoder D 1 D 0 D 1 D 0 D 1 D 0 D 1 D / / / / / / / C / / / / / / / / / Tabelle 2-3: Codierung der verschiedenen Ampelphasen Für die einwandfreie Steuerung der Ampel sind jedoch noch weitere Schaltungsteile notwendig. Dazu gehört ein Zähler, der die Adressen des ROM nacheinander anlegt, sowie eine Schaltung, die den Endzustand erkennt und den gesamten Vorgang von Neuem startet und die Decoderschaltung. 2.3 Programmierung eines ROMs in OrCAD Nachfolgend wird erläutert, wie unter OrCAD ein ROM zu programmieren ist. Um die Daten in das ROM zu laden, benötigen wir eine Datei, in der die Daten in einem bestimmten Format vorliegen, dem Intel-HEX-Format. Dieses Format dient zur Speicherung und zur Übertragung von binären Daten und wird in erster Linie verwendet, um Programmierdaten für Mikrocontroller, EPROMS, etc. zu speichern. Bei dem HEX-Format handelt es sich um ein ASCII-Format, das bis auf das Zeichen für den Start Code : ausschließlich aus hexadezimalen Zeichen besteht. Jede neue Zeile beschreibt einen neuen Datensatz, wobei jede Zeile aus sechs Teilen besteht: Start code; Data length; Address; Record type; 6/14

7 Data block; Checksum; Abbildung 2.3 zeigt die Struktur einer Zeile (Datensatz) im HEX-Format. Jedes Feld hat eine Größe von 1 Byte respektive 8 Bits, wobei jedes Feld, bis auf das erste, ausschließlich hexadezimale Zeichen enthält. : n n n n n n n Start code Data length Address Record type Data block Checksum Abbildung 2.3: Struktur einer Zeile im Intel-Hex-File Jede Zeile beginnt mit einem Start code, einem :. Das Feld Data length beschreibt die Anzahl der Bytes, die in dem Bereich Data block abgelegt werden sollen. Die nächsten beiden Bytes (Address) definieren die Adresse, wo die Daten abgelegt werden sollen. Das Feld Record type beschreibt den Datensatztyp. Wir benötigen im Rahmen unseres Praktikums die Datensatztypen 00 (Binärdaten) und 01 (letzte Zeile der Datei). Der Bereich Data block beinhaltet die zu speichernden Daten. Das Feld Checksum beinhaltet die Prüfsumme, die aus allen Bytes des Datensatzes gebildet wird, ausschließlich dem Start code und der Checksum selbst. Die Prüfsumme wird wie folgt gebildet: - Bilde die Summe aller Bytes (Start code ausgenommen) - Bilde das 2 er Komplement mit dem niederwertigsten Byte der Summe. Mit Hilfe der so gebildeten Prüfsumme lässt sich nun die Korrektheit des Datensatzes überprüfen. Addiert man die Prüfsumme mit dem niederwertigsten Byte der Summe aller Bytes, so muss das Ergebnisbyte 0 sein, andernfalls ist der Datensatz inkorrekt. Wurden aller Datensätze angegeben, so muss das Ende der Datensätze mit einer letzten Zeile abgeschlossen werden. Diese muss wie folgt lauten: : FF Das Feld Data length ist 00, da wir keine Daten mehr speichern wollen, die beiden Adressfelder (Address) beinhalten 0000 und das Feld Recordtype wird mit dem Wert 01 gefüllt und definiert somit das Dateiende. Beispiel: Wir wollen das Datum unter der Adresse 0101 speichern! 1. Konvertierung des Binärformats ins Hexadezimale Format =61 16 and = Bestimme das Feld Data length. Da unsere zu speichernden Daten nur 7 Bits haben ( ), benötigen wir insgesamt nur 1 Byte, um die Daten zu speichern. Folglich muss das Feld Data length mit 01 (1 10 =1 16 ) beschrieben werden. 3. Bestimme das Feld Record type. Der Wert für dieses Feld ist 00, da wir Daten speichern und nicht das Ende der Datensätze definieren wollen. 4. Bestimme das Feld Checksum. 7/14

8 Bilde die Summe aller Bytes einer Zeile: Data Length Byte + Address Bytes + Record Type Byte + Data Bytes: Das Ergebnis der Addition beträgt 67H (Hexadezimal!!!) und stellt somit automatisch das niederwertigste Byte dar, dass wir im nächsten Schritt benötigen. Bilden des 2er Komplements Wir wandeln zunächst den Wert 67H in die entsprechende Dualzahl um. Das Resultat ist Bilden wir aus dieser Zahl das 2er Komplement, so erhalten wir Wandeln wir den Wert aus dem vorherigen Schritt wieder ins Hexadezimale Format um, so erhalten wir unsere Prüfsumme: 99 Die gesamte Zeile lautet folglich: Data length Address Record type Data checksum F 6: Vervollständigen Sie die nachfolgende Tabelle mit den noch fehlenden Zeilen im HEX- Format, die notwendig sind, um das ROM so zu programmieren, dass es die aus Tabelle 2-3 codierten Ampelphasenkombinationen speichert. Adressbereich Zeile im Hex-Format Adresse 0-19 : AC Adresse : A Adresse : A5 Adresse Adresse :02001B00C0C063 :04001D DF Adresse 33 : D6 Adresse : C5 Adresse 39 : D2 8/14

9 2.4 Zähler zur Adressierung des ROMs Da die Anzahl der notwendigen Speicherplätze sicherlich größer als 16 ist, wird ein Zähler mit mehr als vier Bit benötigt. Mit Hilfe des Bausteins wird ein 8-Bit-Zähler aufgebaut. (Die weitere Spezifikation des Bausteins können Sie dem Datenblatt entnehmen.) F 7: Wie wird der Baustein beschaltet, damit er als binärer Zähler funktioniert? F 8: Wozu dient der Pin Nr. 1? F 9: Wozu dienen die Pins 3, 4, 10, 11? F 10: Welcher Pin dient am Baustein zum Zurücksetzen des Zählers? F 11: Welche Pins dienen als Ausgänge? Welches ist das niederwertigste und welches das höchstwertigste Bit? F 12: Bis zu welcher Dezimalzahl kann ein 8-Bit-Binärzähler zählen? F 13: Der Baustein ist ein 4-Bit-Zähler. Wie kann aus zwei Bausteinen dieses Typs ein 8-Bit-Zähler entworfen werden? Tipp: Führen Sie sich vor Augen, wann der zweite Zähler zum ersten Mal zählen soll. 9/14

10 F 14: Vervollständigen Sie die folgende Schaltung zu einem 8-Bit-Zähler! Abbildung 2.4: Ein 8-Bit Zähler aus zwei 4-Bit-Zählern 2.5 Schaltung zur Erkennung des Schleifenendes Da ein 8-Bit-Binärzähler in der Lage ist, weit über den Bedarf an Adressen zu zählen, soll eine Schaltung entworfen werden, die den Zähler zum richtigen Zeitpunkt zurücksetzt. F 15: Bei welcher Adresse soll der 8-Bit-Zähler den Rücksetzimpuls erhalten? Geben Sie diese Zahl dezimal und binär an! (Beachten Sie, dass die letzte definierte Adresse noch eine volle Taktlänge anliegen muss!) F 16: Entwerfen Sie nun die Schaltung, die einen Rücksetzimpuls -Impuls für den 8-Bit- Zähler erzeugt, wenn die von Ihnen unter F 15: angegebene Adresse erreicht wurde. F 17: An welche PINs soll der Ausgang dieser Schaltung angeschlossen werden? 10/14

11 2.6 Decoderschaltung zur Steuerung der Ampellampen Da die Daten, die am Ausgang des ROM zur Verfügung stehen, nach Tabelle 2-2 codiert wurden, eignen sie sich nicht zur direkten Steuerung der Ampellampen (rot, gelb, grün). Zu diesem Zweck wird ein Decoder entworfen, der in der Lage ist die einzelnen Ampeln durch 2 Bits anzusteuern. Für jede der 4 Ampeln ist ein Decoder notwendig. Abbildung 2.5: Decoder F 18: Entwerfen Sie diese Decoder-Schaltung und geben Sie sie an! 3 Design, Programmierung und Simulation Die Schaltung soll nun mit Hilfe von OrCAD erstellt und simuliert werden. A 1: Erstellen Sie ein Analog or mixed A/D Projekt und nennen Sie es Lab3. Benennen Sie den Schematic Folder von SCHEMATIC1 nach TrafficLightSystem um. Die Schematic Page Datei im Schematic Folder benennen sie bitte von PAGE1 nach TrafficLightCircuit um. Wir werden nun die Schaltung des Counters erzeugen. A 2: Erstellen Sie einen neuen Schematic Folder namens Counter. In dem Ordner Counter erstellen Sie eine neue Datei (new page) namens CounterCircuit. Erstellen Sie in dem Schematic-Fenster der Schaltung CounterCircuit die Schaltung, die Sie in Aufgabe F 14: sowie F 16: gezeichnet haben. Denken Sie daran, die beiden Schaltungen korrekt miteinander zu verbinden. Platzieren und benennen sie die Ports an den Ein- und Ausgängen der Schaltung. Hinweis: Für die input ports verwenden Sie bitte PORTRIGHT-R und für die output ports PORTLEFT-L. Nun widmen wir uns der Decoderschaltung. 11/14

12 A 3: Erstellen Sie einen neuen Schematic Folder namens Decoder. In dem Ordner Decoder erstellen Sie eine neue Datei (new page) namens DecoderCircuit. Erstellen Sie in dem Schematic-Fenster der Schaltung DecoderCircuit die Schaltung, die Sie in Aufgabe F 18: gezeichnet haben. Platzieren und benennen sie die Ports an den Ein- und Ausgängen der Schaltung. Nun haben wir die benötigten Teilschaltungen erzeugt und können mit der Gesamtschaltung beginnen. A 4: Öffnen Sie die Schaltung TrafficLightCircuit und erzeugen sie innerhalb dieser Schaltung die benötigten hierarchischen Blöcke für den Counter sowie für die Decoder. Hinweis: Um mehrere hierarchische Blöcke für die gleiche Schaltung zu erzeugen, müssen Sie lediglich unterschiedliche Namen im Feld Reference vergeben. A 5: Platzieren Sie nun den ROM-Baustein ROM32KX8break. Den Baustein finden Sie in der Bibliothek BREAKOUT.OLB. Die nachfolgende Abbildung stellt die Gesamtschaltung dar, die aus den vorangegangenen Arbeitsschritten resultiert. Abbildung 3.1: Gesamtschaltung Die Ausgänge O0 bis O7 des Bausteins ROM32KX8break werden verwendet, um direkt die Decoder anzusteuern, die ihrerseits die einzelnen Ampellampen schalten. Die Ausgänge des CounterCircuit dienen der Adressierung des ROMs. Die Eingänge A8 bis A14 des ROMS müssen auf 0 gesetzt werden, da der damit angesprochene Adressraum nicht verwendet wird. 12/14

13 Hinweis: Verwenden Sie Place Power $D_LO (Bibliothek source.olb) um ein dauerhaftes LOW-Signal zu generieren. A 6: Erzeugen Sie nun eine Datei ROM.txt, mit Hilfe des Notepad Texteditors und speichern Sie dort die Lösung von Aufgabe F 6:. A 7: Um das ROM nun mit dem Inhalt der Datei zu programmieren, gehen Sie wie folgt vor: Selektieren sie das ROM. Wählen Sie nun über das Menü den Eintrag Edit PSpice Model. Es öffnet sich nun der OrCAD PSpice Model Editor mit der Beschreibung des ROMs. Sie müssen dieses Modell nun so modifizieren, dass ihre Datei ROM.txt referenziert wird. Verändern Sie dazu die Zeile: *+ FILE= Löschen Sie das Zeichen * am Anfang der Zeile und ergänzen Sie die Zeile mit dem Namen Ihrer HEX-Datei (sollte ROM.txt sein), wobei der Name der Datei in Anführungszeichen zu setzen ist. Die resultierende Zeile lautet dann. + FILE= ROM.txt Sie müssen nun noch Ihre HEX-Datei in das korrekte Verzeichnis kopieren, damit die Datei auch während der Simulation gefunden wird. A 8: Erzeugen Sie ein neues Simulationsprofil über das Menü PSpice NewSimulation und speichern Sie anschließend das gesamt Projekt. Damit ist sicher gestellt, dass alle notwendigen Verzeichnisse vorhanden sind. Kopieren Sie nun die HEX-Datei (ROM.txt) in das Verzeichnis Lab3-PSpiceFiles, welches sich unterhalb ihres Projektverzeichnisses (z.b.: C:\ORCAD_DATA) befindet. A 9: Simulieren Sie die Schaltung mit entsprechenden Eingangssignalen und prüfen Sie, ob ihre Schaltung die gewünschte Funktionalität aufweist. F 19: Wie muss der Eingang OE (DSBL) des ROMs belegt werden? 13/14

14 Digitale Komponenten Symbol-Name Type-Number Library NOT AND 2-Input AND 3-Input NAND 2-Input NAND 3-Input NAND 4-Input OR 2-Input NOR 2-Input NOR 3-Input XOR JK-FF with CLR JK-FF with PRE/CLR JK-FF with CLR JK-FF with PRE/CLR D-FF with PRE/CLR D-FF D-TYPE REGISTER 74LS173A 74ls REGISTER FILE O.C PRESETTABLE BINARY COUNTER 74LS197 74ls BINARY COUNTER ROM Input, 8 Output 32 bytes memory 7493A ROM BREAKOUT 14/14

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum:

Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: Bericht Übung 5.5 Ampelsteuerung und Steuerung einer 7-Segment-Anzeige Teilnehmer: Natalia Springer, Tong Cha Datum: 20.12.2007 5.5.1 Steuerung einer Ampel Aufgabenstellung: Es soll ein Automat zur Steuerung

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Tipps und Tricks für die Capture DB. Sammlung wichtiger Tipps und Tricks

Tipps und Tricks für die Capture DB. Sammlung wichtiger Tipps und Tricks Titel: Produkt: Summary: Tipps und Tricks für die Capture DB OrCAD Capture Sammlung wichtiger Tipps und Tricks Autor/Date: Beate Wilke /8.1.2015 Version 1.2 Inhaltsverzeichnis 1 NC Pins... 2 1.1 NC Property...

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 1 Einführung in das Simulationsprogramm OrCAD Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Prof. Dr.-Ing.

Mehr

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller

Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller SS 2004 VAK 18.004 Musterlösungen Technische Informatik 2 (T2) Prof. Dr.-Ing. D. P. F. Möller Aufgabenblatt 2.5 Lösung 2.5.1 Befehlszähler (Program Counter, PC) enthält Adresse des nächsten auszuführenden

Mehr

Fach: Elektrotechnik

Fach: Elektrotechnik Grundschaltungen der Digitaltechnik Mit n Signalen (Leitungen) können in der Digitaltechnik somit 2 n Zustände dargestellt werden. Analoge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Electronic Design Automation (EDA) Spezifikation

Electronic Design Automation (EDA) Spezifikation Electronic Design Automation (EDA) Spezifikation Inhalte einer Spezifikation Beispielspezifikation Ampelsteuerung Formale Beschreibung Blockdiagramme... für die Ampel Zustandsübergangs-diagramme... für

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

, 2014W Übungsgruppen: Mo., Mi.,

, 2014W Übungsgruppen: Mo., Mi., VU Technische Grundlagen der Informatik Übung 5: ikroprozessor (icro16) 183.579, 2014W Übungsgruppen: o., 01.12. i., 03.12.2014 Aufgabe 1: Schaltwerksentwicklung Hexapod / Teil 2 a) Befüllen Sie die untenstehende

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO-VON-GUERICKE-UNIVERSITÄT MAGDEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Grundlagen der Informatik Studiengang: Bachelor (CV / CSE / IF / WIF) am: 19. Juli 2008 Bearbeitungszeit:

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Unified-E Modbus Adapter

Unified-E Modbus Adapter Unified-E Modbus Adapter Betrifft: Version 1.5.0.0 und höher Stand: Februar 2017 Inhalt 1 Allgemeines... 2 2 Adapter-Parameter in Unified-E... 2 3 Adressierung von Datenpunkten... 4 Unified-E Modbus Adapter

Mehr

Multiplexer und Schieberegister

Multiplexer und Schieberegister Hard- und Softwaretechnik Schaltwerke Multiplexer und Schieberegister Andreas Zbinden Gewerblich- Industrielle Berufsschule Bern Inhaltsverzeichnis 1 Multiplexer, Demultiplexer 2 2 Schieberegister 6 2.1

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Einbindung der RasPiLC in die CODESYS-Umgebung

Einbindung der RasPiLC in die CODESYS-Umgebung Einbindung der RasPiLC in die CODESYS-Umgebung Die Ersteinrichtung des Raspberry Pi wird gesondert im Dokument Ersteinrichtung beschrieben. Diese ist Voraussetzung für den Betrieb und die Nutzung der RasPiLC

Mehr

Kombinatorische Schaltungen

Kombinatorische Schaltungen Mathias Arbeiter 16. Juni 2006 Betreuer: Herr Bojarski Kombinatorische Schaltungen Elektrische Logigsysteme ohne Rückführung Inhaltsverzeichnis 1 Wirkungsweise von NAND-Gattern 3 2 logische Schaltungen

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik.

Aufgabe 4 Nennen Sie wenigstens 3 Programmierverfahren für programmierbare Logik. ÜBUNGSAUFGABENSAMMLUNG DIGITALTEHNIK 1 Aufgabe 1 Erklären Sie kurz die Begriffe Wrap-Around-Arithmetik und Sättigungsarithmetik. Berechnen Sie die Ergebnisse der folgenden Rechenoperationen gemäß Wrap-Around-Arithmetik.

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-1. Schaltnetze. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-1 Schaltnetze Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-1 Versuch L-1 Dieser Versuch behandelt einfache Schaltnetze, die mit Hilfe von PALs aufgebaut

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Digitale Systeme und Schaltungen

Digitale Systeme und Schaltungen Zusammenfassung meines Vortrages vom 26. Jänner 2017 Digitale Systeme und Schaltungen Andreas Grimmer Pro Scientia Linz Johannes Kepler Universität Linz, Austria andreas.grimmer@jku.at In dieser Zusammenfassung

Mehr

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA) Klausur zur Vorlesung Grundlagen der Technischen Informatik (GTI) und Grundlagen der Rechnerarchitektur (GRA) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn.3.2008 Teil : (GTI)

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Anwendungen der Mikrocontrollertechnik

Anwendungen der Mikrocontrollertechnik Anwendungen der Mikrocontrollertechnik Projektarbeit WS15/16 Prof. Dr.-Ing. Dietmar A. Brück Jannik Haupenthal 3601110 Stichpunkte: Entwicklung der Aufgabenstellung zu der Erweiterungsplatine 7 Segment

Mehr

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex

Institut für Informatik. Deutsche Kurzanleitung Lattice ISPLever v1.0 zum Elektronik Grundlagenpraktikum. 5. Praktikumskomplex UNIVERSITÄT LEIPZIG Institut für Informatik Studentenmitteilung 3. Semester - WS 2002 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-0341-97 32213 Zimmer: HG

Mehr

zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt

zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt Serienbriefe Wie Sie einen Serienbrief mittels Calc und Writer erstellen zur Verfügung gestellt durch das OpenOffice.org Dokumentations-Projekt Inhaltsverzeichnis 1. Erzeugen einer Quelldatei 2. Erzeugung

Mehr

Dateien Struktur und Größe

Dateien Struktur und Größe Dateien Struktur und Größe Inhaltlich zusammengehörende Daten = Datei z.b. eine Kundendatei eine Artikeldatei eine Patientendatei eine Schülerdatei Ihre Größe wird in Byte gemessen. Ein Byte ist der Platzhalter

Mehr

b.a.b-technologie gmbh ThemeEditor Dokumentation

b.a.b-technologie gmbh ThemeEditor Dokumentation b.a.b-technologie gmbh ThemeEditor Dokumentation Anleitungsversion IV Stand 03/2013 Datum: 18. April 2013 DE Inhaltsverzeichnis b.a.b technologie gmbh im INHOUSE Dortmund Rosemeyerstr. 14 44139 Dortmund

Mehr

Modell Bahn Verwaltung. Tutorial. Decoder Datei. Min. Programm Version 0.65, März w w w. r f n e t. c h 1 / 10

Modell Bahn Verwaltung. Tutorial. Decoder Datei. Min. Programm Version 0.65, März w w w. r f n e t. c h 1 / 10 MobaVer M o d e l l b a h n V e r w a l t u n g Modell Bahn Verwaltung Tutorial Decoder Datei Min. Programm Version 0.65, März 2015 Tutorial Version 16.03.2015 rfnet Software w w w. r f n e t. c h 1 /

Mehr

PSpice. Kathleen Jerchel. 9. April Inhaltsverzeichnis. Einbinden von Bibliotheken. 1 Einleitung 2

PSpice. Kathleen Jerchel. 9. April Inhaltsverzeichnis. Einbinden von Bibliotheken. 1 Einleitung 2 PSpice Einbinden von Bibliotheken Kathleen Jerchel 9. April 2007 Inhaltsverzeichnis 1 Einleitung 2 2 Theorie 2 2.1 Was braucht man?........................... 2 2.2 Wie beschaffen?.............................

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen Digitaltechnik Laborversuch Bitmustererkennung Name: vereinbarter Termin ter Termin 3ter Termin (Ausnahme) Nachgespräch Matr.-Nr.: Datum Test Versuchsdurchführung Anmerkung Unterschrift Wichtige Informationen

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Digitallabor Aufgabe 5.4 Lauflicht

Digitallabor Aufgabe 5.4 Lauflicht Digitallabor Aufgabe 5.4 Lauflicht Teilnehmer: Natalia Springer, Tong Cha 5.4.1 Lauflicht Aufgabenstellung Aus den Dioden D1..D8 ist ein Lauflicht zu erstellen. Es soll eine Diode leuchten. Bei jeder positiven

Mehr

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes.

TU ILMENAU Fak. IA - FG Neuroinformatik & Kognitive Robotik. Vorkurs Informatik September Kurs: I 1. Dr. Klaus Debes. Vorkurs Informatik September 2016 Kurs: I 1 Dr. Klaus Debes klaus.debes@tu-ilmenau.de Tel. 03677-69 27 70, 69 28 58 http://www.tu-ilmenau.de/neurob Teaching Wintersemester Vorkurs Informatik Übersicht

Mehr

Zahlen im Computer (Klasse 7 Aufbaukurs Informatik)

Zahlen im Computer (Klasse 7 Aufbaukurs Informatik) Zahlen im Computer (Klasse 7 Aufbaukurs Informatik) Die Bildauswahl erfolgte in Anlehnung an das Alter der Kinder Prof. J. Walter Bitte römische Zahlen im Geschichtsunterricht! Messsystem mit Mikrocontroller

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Benutzerhandbuch WSCAD Datenpunktliste Konvertierung nach Datenpunktliste VDI 3814

Benutzerhandbuch WSCAD Datenpunktliste Konvertierung nach Datenpunktliste VDI 3814 Benutzerhandbuch WSCAD Datenpunktliste Konvertierung nach Datenpunktliste VDI 3814 Alle Rechte bei: SBC Deutschland GmbH, Siemensstr. 3, 63263 Neu-Isenburg nachfolgend SBC genannt Seite 1 von 16 Einleitung

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 25.01.2016 Probeklausur zu Computational Engineering

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Einführungsbeispiel in das Programmiersystem CoDeSys

Einführungsbeispiel in das Programmiersystem CoDeSys Einführungsbeispiel in das Programmiersystem CoDeSys Um die Vorgehensweise der Projekterstellung in CoDeSys zu verstehen, soll ein ganz einfaches Programm erstellt werden: Zwei binäre Eingangssignale sollen

Mehr

Serienbrief erstellen

Serienbrief erstellen Um in Word 2010 einen Serienbrief zu erstellen, muss man den Seriendruck-Assistenten aufrufen. Der Seriendruck-Assistent führt dich in sechs Schritten durch diese Thematik. Dabei enthält jeder Schritt

Mehr

LTSpice Tutorial 2: Eigene Modelle und Symbole

LTSpice Tutorial 2: Eigene Modelle und Symbole LTSpice Tutorial 2: Eigene Modelle und Symbole Vorhandenes Symbol, eigenes Modell, Modell in Einzeldatei Der Baustein «LM336» ist eine 2.5 V Zener-Spannungsreferenzdiode mit einem Justiereingang: Die Zenerdiode

Mehr

, 2015W Übungstermin: Do.,

, 2015W Übungstermin: Do., VU Technische Grundlagen der Informatik Übung 2: Numerik, Codierungstheorie 183.579, 2015W Übungstermin: Do., 29.10.2015 Allgemeine Hinweise: Versuchen Sie beim Lösen der Beispiele keine elektronischen

Mehr

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die

1. Keil starten. Sollten Sie keinen leeren Bildschirm haben, löschen Sie einfach die 1 Einführung Keil uvision Bevor Sie Keil uvision starten, erstellen Sie sich einen Ordner im Verzeichnis C:/Keil/ wo Sie Ihre Projekte später ablegen. In diesem Ordner kopieren Sie die Datei 0_template.a51.

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Arbeitstitel: DV-Infrastruktur

Arbeitstitel: DV-Infrastruktur Arbeitstitel: DV-Infrastruktur Überblick über die Lehrveranstaltung Rechnerarchitektur Betriebssysteme Rechnernetze Einf. in die WI 1 - DV-Infrastruktur WS03/04 1 Rechnerarchitektur Einf. in die Technologie

Mehr

Der Rumpf. Titel Seite 3

Der Rumpf. Titel Seite 3 Grundzüge des Web-Designs Es gibt verschiedene Elemente der Darstellung, die im Design immer wieder vorkommen Diese sind z.b. Textblöcke, Bilder, Überschriften, Absätze etc. Titel Seite 1 Diese Elemente

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

2.2 Rechnerorganisation: Aufbau und Funktionsweise

2.2 Rechnerorganisation: Aufbau und Funktionsweise 2.2 Rechnerorganisation: Aufbau und Funktionsweise é Hardware, Software und Firmware é grober Aufbau eines von-neumann-rechners é Arbeitsspeicher, Speicherzelle, Bit, Byte é Prozessor é grobe Arbeitsweise

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

Praktische Übung. Die Lernplattformen ILIAS ist mit Stud.IP verbunden, sodass Sie Lernmodule dieser Plattform

Praktische Übung. Die Lernplattformen ILIAS ist mit Stud.IP verbunden, sodass Sie Lernmodule dieser Plattform Informatik I Wintersemester 2016/2017 Prof. Dr. Carsten Damm Georg-August-Universität Göttingen Dr. Henrik Brosenne Institut für Informatik Übung 1 Praktische Übung Testate von Di., 01.11., 8-10 Uhr bis

Mehr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr

Praktikum: VLSI-Entwurf. VHDL Standardzell Entwurf Entwurf einer Weckuhr Praktikum: VLSI-Entwurf 6 VHDL Standardzell Entwurf Entwurf einer Weckuhr Andreas Mäder Universität Hamburg MIN Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme http://tams.informatik.uni-hamburg.de

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

3 Kodierung von Informationen

3 Kodierung von Informationen 43 3 Kodierung von Informationen Bevor ich Ihnen im nächsten Kapitel die einzelnen Bausteine einer Computeranlage vorstelle, möchte ich Ihnen noch kurz zeigen, wie Daten kodiert sein müssen, damit der

Mehr

Datenbank konfigurieren

Datenbank konfigurieren Sie haben eine Datenbank angelegt, jetzt müssen Sie diese noch konfigurieren. Klicken Sie auf den Titel Ihrer neu erstellten Datenbank. Die Spalten Ihrer Datenbank werden als Felder bezeichnet. Sie haben

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Hochschule Darmstadt Data Warehouse SS 2015 Fachbereich Informatik Praktikumsversuch 5

Hochschule Darmstadt Data Warehouse SS 2015 Fachbereich Informatik Praktikumsversuch 5 Hochschule Darmstadt Data Warehouse SS 2015 Fachbereich Informatik Praktikumsversuch 5 Prof. Dr. S. Karczewski Dipl. Inf. Dipl. Math. Y. Orkunoglu Datum: 25.06.2015 1. Kurzbeschreibung Dieses Praktikum

Mehr

Sonstige Daten importieren.

Sonstige Daten importieren. Sonstige Daten importieren. Untenstehend erhalten Sie Informationen und Erklärungen, welche Daten und wie sie eingelesen werden können. (Anhand von Beispieldaten). Welche sonstigen Daten können eingelesen

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Hilfe zur Dokumentenverwaltung

Hilfe zur Dokumentenverwaltung Hilfe zur Dokumentenverwaltung Die Dokumentenverwaltung von Coffee-CRM ist sehr mächtig und umfangreich, aber keine Angst die Bedienung ist kinderleicht. Im Gegensatz zur Foto Galeria können Dokumente

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Programmieren. Aufgabe 1 (Eine erste Datenstruktur)

Programmieren. Aufgabe 1 (Eine erste Datenstruktur) Prof. Dr. S.-J. Kimmerle (Vorlesung) Dipl.-Ing. (FH) V. Habiyambere (Übung) Institut BAU-1 Fakultät für Bauingenieurwesen und Umweltwissenschaften Herbsttrimester 2016 Aufgabe 1 (Eine erste Datenstruktur)

Mehr

Klausur zur Mikroprozessortechnik

Klausur zur Mikroprozessortechnik Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Klausur zur Mikroprozessortechnik Nachname: Vorname: Matrikelnummer: 7.3.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

9. Kombinatorische Schaltungen

9. Kombinatorische Schaltungen 9. Kombinatorische Schaltungen Christoph Mahnke 15.06.2006 1 NAND-Gatter sowie der Eingangsstrom I E = f(u E ) Abbildung 1: Schaltsymbol NAND-Gatter Ein NAND-Gatter entspricht der logischen Verknüpfung

Mehr

Wie erreiche ich was?

Wie erreiche ich was? Wie erreiche ich was? Projekt: Bezeichnung: Warenwirtschaft (WWSBAU) Adressen-Import (Standard) Version: 9.0 Datum: 28.04.2011 Kurzbeschreibung: Mit diesem Leitfaden erhalten Sie eine tabellarische Kurzanleitung,

Mehr

Zahlensysteme und Kodes. Prof. Metzler

Zahlensysteme und Kodes. Prof. Metzler Zahlensysteme und Kodes 1 Zahlensysteme und Kodes Alle üblichen Zahlensysteme sind sogenannte Stellenwert-Systeme, bei denen jede Stelle innerhalb einer Zahl ein besonderer Vervielfachungsfaktor in Form

Mehr

ANLEITUNG CLOUD ACCESS

ANLEITUNG CLOUD ACCESS ANLEITUNG CLOUD ACCESS mit NEO Inhalt Voraussetzung zur Aktivierung des CLOUD ACCESS mit NEO... 1 1. CLOUD ACCESS MIT AIO GATEWAY V5 PLUS EINRICHTEN... 1 1A) CLOUD ACCESS FÜR DAS V5 PLUS IM CONFIGTOOL

Mehr

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam

4^ Springer Vi eweg. SPS-Programmierung. nach IEC in Anweisungsliste. und handlungsorientierte Einführung. Hans-Joachim Adam Mathias Adam Hans-Joachim Adam Mathias Adam SPS-Programmierung in Anweisungsliste nach IEC 61131-3 Eine systematische und handlungsorientierte Einführung in die strukturierte Programmierung 4., bearbeitete Auflage

Mehr

Elektrische Logiksysteme mit Rückführung

Elektrische Logiksysteme mit Rückführung Elektrische Logiksysteme mit Rückführung Christoph Mahnke 22.06.2006 1 Trigger 1.1 RS-Trigger Ein RS-Trigger oder Flip-Flop ist ein elektronisches Bauelement, welches 2 stabile Zustände einnehmen und diese

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

DIGITALE SCHALTWERKE MIT EPROM

DIGITALE SCHALTWERKE MIT EPROM KOMBINATORISCHE LOGIK: DIGITALE SCHALTWERKE MIT EPROM Ohne Takt, Verknüpfung unabhängig vom Vorzustand. Realisierung: Mit Gattern (nach Karnaugh): Aufwendig, unflexibel. Nur für einfache Verknüpfungen

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

AVR Ein/Ausgabe. Inhaltsverzeichnis

AVR Ein/Ausgabe. Inhaltsverzeichnis Inhaltsverzeichnis AVR Ein/Ausgabe 1 Pinout Arduino Board...4 2 AVR PORT - I N P U T PINB...6 3 AVR PORT Architektur Bausteine...8 4 AVR Port O U T P U T PORTB...10 5 AVR PORT Architektur: Fragen 2...12

Mehr

DAYLITEMESSAGES FUER DAYLITE

DAYLITEMESSAGES FUER DAYLITE DAYLITEMESSAGES FUER DAYLITE Table of Contents Allgemeines... 3 DayliteMessages für Daylite... 4 Einrichtung... 5 Installation... 6 Lizenzierung... 9 Benutzung...13 Einstellungen...14 SMS Senden...26 Sonstiges...31

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Klausur Technische Informatik 1 WS 2015/2016 Prüfer: Sutter Hilfsmittel: keine

Klausur Technische Informatik 1 WS 2015/2016 Prüfer: Sutter Hilfsmittel: keine Name:. Matrikel-Nr. Anzahl der Aufgaben: 21 Maximal erreichbare Punktezahl: 60 Ergebnis: 1. Was versteht man unter Dotierung von reinem Silizium mit Donatoren? (Bitte ankreuzen, eine oder mehrere Antworten

Mehr

Dateimanagement Laden Sie die folgende ZIP-Datei auf Ihren Desktop herunter (Tippen Sie die Adresse genau wie untenstehend ab, ansonsten können Sie die ZIP-Datei nicht herunterladen: http://www.thinkabit.ch/content/1-kurse/4-kurs-ika/ecdl_m2_testdateien.zip

Mehr

BOOTLOADER für AVR-Entwicklungsmodule

BOOTLOADER für AVR-Entwicklungsmodule BOOTLOADER für AVR-Entwicklungsmodule mit ATxmega128A1 mit ATxmega256A3 Verzeichnis 1. Einleitung 3 2. Einstellungen 4 2.1. Fuse Bits 4 2.2. AVROSP Programm 5 2.2.1. Erläuterung der BAT-Dateien 5 2.2.2.

Mehr

Schaltungsdesign Simulation Prof. Redlich 1

Schaltungsdesign Simulation Prof. Redlich 1 Schaltungsdesign Simulation Prof. Redlich 1 Schaltungsdesign Simulation Prof. Redlich 2 Capture.exe Öffnen von OrCAD Capture CIS durch klicken auf das jeweilige Icon auf dem Desktop oder unter: Alle Programme

Mehr

CalcVectorPC v Veröffentlicht 2016 Copyright S-cubic GmbH. Krebsbachstr. 12 D Bergisch Gladbach

CalcVectorPC v Veröffentlicht 2016 Copyright S-cubic GmbH. Krebsbachstr. 12 D Bergisch Gladbach CalcVectorPC v1.0.0 CalcVectorPC v1.0.0 Veröffentlicht 2016 Copyright 2016 S-cubic GmbH Krebsbachstr. 12 D-51429 Bergisch Gladbach Tel +49 (0) 2204 9160 30 Fax +49 (0) 2204 9199 416 email: info@s-cubic.de

Mehr

Hierfür sind mit dem Content Management System (CMS) Joomla in Verbindung mit SIGE Pro Inhalte angelegt worden, die genau diesen Zweck erfüllen.

Hierfür sind mit dem Content Management System (CMS) Joomla in Verbindung mit SIGE Pro Inhalte angelegt worden, die genau diesen Zweck erfüllen. Tutorial / Anleitung Fotogalerie SIGE Pro v3.1.0 mit Joomla CMS 3.5.1 Klaus Große-Erwig Stand: 05/2016 Mit der Fotogalerie SIGE Pro ist ein wahlfreier Zugriff auf große Bestände an Bildmaterial möglich,

Mehr

Grundlagen der Informatik I. Übung

Grundlagen der Informatik I. Übung Grundlagen der Informatik I Übung Studiengang Wirtschaftsingenieurwesen Wintersemester 1/13 Autor: Prof. Dr.-Ing. habil. Hans-Joachim Böhme HTW Dresden, Fachbereich Informatik/Mathematik Friedrich-List-Platz

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Dateizugriff unter C

Dateizugriff unter C Begriffe Dateizugriff unter C Datei = sequentielle Ansammlung von Datenbytes, z.b. auf einer Festplatte Auch Bildschirm und Tastatur werden wie Dateien behandelt: Bildschirm ist die Datei stdout Tastatur

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr