7 Grundlagen der Digitaltechnik

Größe: px
Ab Seite anzeigen:

Download "7 Grundlagen der Digitaltechnik"

Transkript

1 7 Grundlagen der Digitaltechnik 7.1 Die logischen Grundfunktionen Logik-Zustände, 0 oder 1, beschreiben mathematisches Verhalten einer digitalen Schaltung. Logik-Pegel, H oder L, beschreiben physikalisches Verhalten einer digitalen Schaltung. Abb. 7.1: Beispiele für die Wahl des Pegelbereiches. a) TTL-, CMOS-Technologie, b) ECL-Technologie, c)v.24-schnittstelle Positive Logikvereinbarung: dem "1-Zustand" wird der Logik-Pegel "H" und dem "0-Zustand" der Logik-Pegel "L" zugeordnet. Negative Logik: dem "1-Zustand" wird der Logik-Pegel "L" und dem "0-Zustand" der Logik-Pegel "H" zugeordnet. 7-1

2 7.1.1 Schaltalgebra Grundlage der Digitaltechnik ist Boolsche Algebra Schaltfunktion: Variable, die nur die Werte 0 oder 1 annehmen kann. n-stellige Schaltfunktion oder Binärfunktion: y f ( x, x, x, x ) mit x, y {0,1} n Funktionen können durch Tabellen definiert werden: Wahrheitstabellen oder Wahrheitstafeln. i Abb. 7.2: Wahrheitstabelle eines Inverters Schaltfunktionen können durch Tabelle definiert werden, in der alle Werte der Eingangsvariablen enthalten sind. Abb. 7.2 definiert Negation, Komplement oder NICHT: y x x 7-2

3 Abb. 7.3: Symbol und Zustandsdiagramm für eine NICHT-Verknüpfung (Negation) Arbeitsweise eines NICHT-Gatters (NICHT-Gliedes oder NOT-Gates): Hat der Eingang ein 0-Signal, ergibt sich am Ausgang ein 1-Signal und umgekehrt. Binäre Funktion mit den Eingangsvariablen x 1 und x 2 kann wieder durch eine Tabelle definiert werden. Bei 2 Eingängen lassen sich 2 2 n 16 verschiedene binäre Funktionen bilden. 7-3

4 Tab. 7.1: 2-stellige Binärfunktionen., Wahrheitstabelle, Darstellung durch (UND, NICHT und ODER), Schaltsymbol und Funktionsname. Grundfunktionen: UND, ODER, NICHT abgeleitete Grundfunktionen: NOR, NAND, Antivalenz (Exklusiv-Oder, EXOR) Äquivalenz (Exklusiv-Nor, EXNOR) 7-4

5 UND-Verknüpfung (Konjunktion) Am Ausgang eines UND-Gliedes liegt nur dann Zustand 1, wenn an beiden Eingängen Zustand 1 liegt y x1 x2 x1 x2 x1x2 Abb. 7.4: Wahrheitstabelle für die Konjunktion (UND-Verknüpfung) Abb. 7.5: Symbole und Zustandsdiagramm für eine UND-Verknüpfung 7-5

6 ODER-Verknüpfung (Disjunktion) Am Ausgang eines ODER-Gliedes liegt immer dann der Zustand 1, wenn wenigstens an einem Eingang der Zustand 1 anliegt y x1 x2 x1 x2 Abb. 7.6: Wahrheitstabelle für die Disjunktion (ODER-Verknüpfung) Abb. 7.7: Symbol und Zustandsdiagramm für eine ODER Verknüpfung 7-6

7 NAND-Verknüpfung Kombination eines UND-Gliedes mit einem NICHT-Glied y x1 x2 x1 x2 Abb. 7.8: Wahrheitstabelle für die NAND-Verknüpfung Abb. 7.9: Symbole und Zustandsdiagramm für eine NAND Verknüpfung Am Ausgang eines NAND Gliedes liegt immer dann der Zustand 1, wenn nicht an allen Eingängen der Zustand 1 liegt. 7-7

8 NOR-Verknüpfung Zusammenschaltung eines ODER-Gliedes mit einem NICHT-Glied. y x1 x2 x1 x2 Abb. 7.10: Wahrheitstabelle für die NOR-Verknüpfung Abb. 7.11: Symbole und Zustandsdiagramm für eine NOR Verknüpfung Am Ausgang eines NOR Gliedes liegt nur dann der Zustand 1, wenn an keinem der Eingänge der Zustand 1 anliegt. 7-8

9 Äqivalenz-Glied (inklusiv-oder-gatter, XNOR-Glied) Am Ausgang liegt immer dann 1, wenn die beiden Eingangszustände gleich sind. y ( x x ) ( x x ) Abb. 7.12: Wahrheitstabelle eines Äqivalenz-Gliedes Abb. 7.13: Symbole und Zustandsdiagramm für eine Äqivalenz Verknüpfung Am Ausgang eines Äqivalenz-Gliedes liegt immer dann der Zustand 1, wenn die Eingänge gleiche Zustände haben. 7-9

10 Antivalenz-Glied (Exklusiv-ODER XOR-Verknüpfung) Ausgang des Äquivalenz-Gliedes wird durch Nachschalten eines NICHT-Gliedes negiert y ( x x ) ( x x ) ( x x ) ( x x ) Abb. 7.14: Wahrheitstabelle für die Antivalenz-Verknüpfung Abb. 7.15: Symbole und Zustandsdiagramm für eine XOR Verknüpfung Am Ausgang eines Antivalenz-Gliedes liegt immer dann der Zustand 1, wenn die beiden Eingänge ungleiche Zustände haben. 7-10

11 Tab. 7.2: Bool sche Operatoren und ihre Schaltsymbole 7-11

12 Glieder mit mehreren Eingängen Glieder mit drei oder mehr Eingängen kann man aus Gliedern mit zwei Eingängen zusammenbauen Abb. 7.16: Zusammenschaltung von zwei UND-Gliedern mit je zwei Eingängen zu einer UND-Schaltung mit drei Eingängen. Abb. 7.17: Wahrheitstabelle einer UND-Schaltung und eines UND-Gliedes mit 3 Eingängen. Durch jeden hinzukommenden Eingang verdoppelt sich die Zahl der Fälle in der Wahrheitstabelle 7-12

13 7.1.2 Rechenregeln Abb : Definition der Funktionsweise mechanischer Schalter. Kommutatives Gesetz: x1 x2 x2 x1 x1 x2 x2 x1 (7.1) Assoziatives Gesetz: x1 ( x2 x3) ( x1 x2) x3 x1 ( x2 x3) ( x1 x2) x3 (7.2) Distributives Gesetz: x1 ( x2 x3) ( x1 x2) ( x1 x3) x1 ( x2 x3) ( x1 x2) ( x1 x3) (7.3) 7-13

14 Absorptionsgesetze (Kürzungsregeln): x ( x x ) x x ( x x ) x x ( x x ) ( x x ) x x ( x x ) x x ( x x ) x x (7.4) ( x x ) ( x x ) x Tautologie: x x x x x x (7.5) Negation: x x 0 x x 1 (7.6) Doppelte Negation: ( x) x (7.7) Operationen mit 0 und 1: x 1 x x 1 1 x x 0 x (7.8)

15 De Morgansche Gesetze: x1 x2 x1 x2 x1 x2 x1 x2 (7.9) Prinzip der Dualität: Vertauscht man in irgendeiner Identität Konjunktion mit Disjunktion und 0 mit 1, erhält man wieder eine Identität. 7-15

16 7.1.3 Realisierung der Grundverknüpfungen in NAND- und NOR-Technik Die Grundverknüpfungen Negation, UND- und ODER-Verknüpfung lassen sich technisch auch in reiner NAND- oder NOR-Technik realisieren. Abb. 7.19: Realisierung der Grundfunktionen mit NAND- und NOR-Gattern. 7-16

17 7.2 Schaltfunktionen Eine Schaltfunktion ist eine Gleichung der Schaltalgebra, die die Abhängigkeit der binären Schaltvariablen y von einer (oder mehreren) unabhängigen binären Schaltvariablen x 1, (x 2,, x n ) beschreibt. Wird eine Schaltfunktion mit Hilfe eines Operationssymbols (,, ) dargestellt, dann heißt diese Schaltfunktion eine Verknüpfung. Es gibt für jede Verknüpfung drei gleichwertige Darstellungen: Wertetabelle oder Wahrheitstafel Schaltzeichen Angabe der Funktion. Abb. 7.20: Grundverknüpfungen und ihre Darstellungen 7-17

18 Es gibt für die Schaltfunktionen verschiedene gleichwertige Darstellungsformen: Funktionstabelle (Wahrheitstafel) Funktionsgleichung KV Diagramm Schaltzeichen 7-18

19 7.2.1 Kanonisch disjunktive Normalform Gesucht ist eine logische Funktion die eine vorgegebene Funktionstabelle erfüllt. Im nächsten Schritt wird diese Funktion auf einfachste Form gebracht. Verwendung der disjunktiven Normalform: 1) Man sucht in der Wahrheitstafel alle Zeilen auf, in denen die Ausgangsvariable y den Wert 1 besitzt. 2) Von jeder dieser Zeilen bildet man die Konjunktion aller Eingangsvariablen; und zwar setzt man x i ein, wenn bei der betreffenden Variablen eine 1 steht, andernfalls x i. Auf diese Weise erhält man gerade so viele Produktterme wie Zeilen mit y = 1. 3) Die gesuchte Funktion erhält man schließlich, indem man die Disjunktion aller gefundenen Produktterme bildet. Abb. 7.21: Beispiel für eine Wahrheitstafel 7-19

20 Zeile 3: K , Zeile 5: K , Zeile 7: K7 x1 x2x3 Die gesuchte Funktion ergibt sich nun als die Disjunktion der Konjunktionen: (7.3): (7.6), (7.8) (7.3) (7.6), (7.8) y K K K y x x x x x x x x x y [ x x x ( x x )] x y ( x x x ) x y ( x x )( x x ) x y ( x x ) x

21 Sind in der Wahrheitstafel bei der ausgangsvariablen y mehr Einsen als Nullen vorhanden, kann man die negierte Ausgangsvariable y betrachten. Man muss dann die Variable negieren um die Funktion y zu erhalten. Dazu sind die Operationen ( ) und ( ) zu vertauschen, sowie die Variablen und Konstanten einzeln zu negieren. Beispiel: KDN y ( x x x ) ( x x x ) ( x x x ) ( x x x ) ( x x x ) oder y ( x x x ) ( x x x ) ( x x x ) y ( x x x ) ( x x x ) ( x x x )

22 7-22

23 7.2.2 Das Karnaugh-Veitch Diagramm Ein Karnaugh-Veitch Diagramm ist die graphische Darstellung einer Wertetabelle oder Schaltfunktion. Hat die Wertetabelle oder Schaltfunktion n Eingangsvariable, dann hat das KV-Diagramm 2 n Felder. Abb. 7.22: Wahrheitstafel der UND- Funktion Abb. 7.23: Karnaugh-Veitch- Diagramm der UND -Funktion 7-23

24 Beispiel: Abb. 7.24: Wahrheitstafel mit zugehörigem Karnaugh-Veitch - Diagramm Aufstellung der disjunktiven Normalform: K K x x x x x x x x K1 K2 x1x2 x3x4 x1 x2x3x4 K K x x x ( x x ) x x x Stehen in einem Rechteck oder Quadrat mit 2, 4, 8,... Feldern überall Einsen, kann man direkt die Konjunktion der ganzen Gruppe gewinnen, indem man nur die Eingangsvariablen berücksichtigt, die in allen Feldern der Gruppe einen konstanten Wert besitzen. 7-24

25 K x x x B KD x x 1 2 KC x x 1 3 K ' A x x 2 4 y K ' A K B K C K D y x2x4 x1x3 x4 x1x3 x1x2 7-25

26 7.3 Schaltungstechnik Für die Realisierung der einzelnen Grundverknüpfungen gibt es eine ganze Reihe von Schaltungstechniken, die sich hinsichtlich Leistungsaufnahme, Betriebsspannung, H- und L-Pegel, Gatterlaufzeit und Ausgangsbelastbarkeit unterscheiden. Verknüpfungsglieder, die nach bestimmten Prinzipien aufgebaut sind, bilden eine Schaltkreisfamilie. Verknüpfungsglieder einer Schaltkreisfamilie lassen sich ohne Schwierigkeiten zusammenschalten. Verknüpfungsglieder verschiedener Schaltkreisfamilien dürfen nur unter bestimmten Voraussetzungen miteinander kombiniert werden. Abb. 7.25: Beispiel einer Pegeltabelle H 1, L 0 H 0, L 1 Abb. 7.26: Wahrheitstafel bei positiver Logik: NAND-Funktion Abb. 7.27: Wahrheitstafel bei negativer Logik: NOR-Funktion 7-26

27 Ein und dieselbe Schaltung kann also je nach Wahl der Logik einmal eine NOR- und einmal eine NAND-Schaltung darstellen. Bei Übergang in der Logik vertauschen sich die Verknüpfungen in folgender Weise: OR NAND, ODER UND, NICHT NICHT. 7-27

28 Lastfaktoren Zum Steuern von Verknüpfungsgliedern werden bestimmte Spannungen und Ströme benötigt. An den Ausgang eines Gliedes darf nur eine bestimmte Anzahl von Eingängen angeschlossen werden. Es gibt zwei definierte Lastfaktoren, den Eingangslastfaktor (Fan-in) und den Ausgangslastfaktor (Fan-out). Lasteinheit: Für TTL-Glieder gilt: L-Eingangszustand 0,4 V 1,6 ma H-Eingangszustand 2,4 V 40 µa Der Eingang eines Gliedes hat den Eingangslastfaktor F I = 1, wenn er die festgelegte normale Eingangsbelastung verursacht. Der Ausgangslastfaktor F Q eines Gliedes gibt an, wie viel normale Eingänge maximal an den Ausgang dieses Gliedes angeschlossen werden dürfen. Üblich: F Q =

29 Dioden-Transistor-Logik DTL-Schaltungen Standard-DTL-Schaltungen Abb. 7.28: DTL-Schaltung (ODER-Glied bei positiver Logik) Abb. 7.29: DTL-Schaltung (UND-Glied hei positiver Logik) Abb. 7.30: DTL-Schaltung (NICHT-Glied) 7-29

30 Wie wirkt ein offener Eingang? Schaltung Abb. 7.29: offener Eingang wirkt wie L. Inverterschaltung Abb. 7.31: offener Eingang wirkt wie L. Schaltung Abb. 7.30: offener Eingang entspricht H-Pegel. ODER-Glied (Abb. 7.29) und UND-Glied (Abb. 7.30) bei positiver Logik passive Glieder, d.h., enthalten keine verstärkenden Bauelemente: Gefahr des Absinkens des H-Pegels bei Zusammenschalten mehrerer Glieder Abb. 7.31: DTL-Schaltung (Aktives UND-Glied hei positiver Logik) D 4 : Diode zur Pegelverschiebung Abb. 7.32: Arbeitstabelle zur Schaltung Abb

31 Abb. 7.34: DTL-Schaltung (NAND-Glied hei positiver Logik) Die Schaltzeiten der DTL-Schaltkreisfamilie sind verhältnismäßig kurz (Signal-Laufzeit ungefähr 30 ns) TTL-Schaltkreisfamilie arbeitet etwa dreimal so schnell DTL-Glieder werden vor allem dort eingesetzt, wo es auf eine besonders große Arbeitsgeschwindigkeit nicht ankommt. DTL- Schaltungen haben den Vorteil der größeren Störsicherheit. 7-31

32 Tab. 7.3: Typische Werte für DTL-Schaltkreise Speisespannung 6 V Leistungsaufnahme je Glied 9 mw Signal-Laufzeit t P 30 ns Statische Störsicherheit 1,2 V Umgebungs-Temperaturbereich 0 bis +75 C Eingangs-Lastfaktor 1 Ausgangs-Lastfaktor 8 H-Eingangsspannung (untere Grenze) L-Eingangsspannung (obere Grenze) H-Ausgangsspannung (untere Grenze) L-Ausgangsspannung (obere Grenze) 3,6 V 1,4 V 4,0 V 0,5 V 7-32

33 langsame, störsichere Logik LSL-Schaltungen Pegelverschiebungs-Dioden werden durch Z-Dioden ersetzt Abb. 7.35: DTL-Schaltung mit Z-Diode (NAND-Glied bei positiver Logik) Der mindestens erforderliche H-Eingangspegel wird so um die Zenerspannung der Z-Diode erhöht. 7-33

34 7.3.2 TTL-Schaltungen Transistor-Transistor-Logik Multi-Emitter-Transistor Abb. 7.36: Multi-Emitter-Transistor Hauptbaustein der TTL-Technik ist das NAND-Glied. Multiemitter-Transistor arbeitet wie Parallelschaltung von Transistoren Abb. 7.37: Multiemitter-Transistor dargestellt als Parallelschaltung von drei Transistoren Multiemitter-Transistor erzeugt eine UND-Verknüpfung. 7-34

35 Abb. 7.38: Prinzipschaltung des NAND-Gatters 7400 in TTL-Technik X1 und/oder X2 auf L-Pegel: T1 leitet, L-Pegel (ca. 0,3 V) an Basis von T2. T2 und T4 sperren. T3 leitet. Am Ausgang liegt H-Pegel. X1 und X2 auf H-Pegel: T1 arbeitet im Inversbetrieb. T2 und T4 leiten, und der Ausgang liegt auf L-Pegel. Diode D1 verhindert das gleichzeitige Durchschalten des Transistors T3. T4 und T3 arbeiten im Gegentakt. D1 (Hubdiode ) hebt as Potential des Emitters von T3 auf 0,9 V, damit T3 sperrt. Beide Zustände sind niederohmig. Für den Ausgangswiderstand gilt: Ra = 140 für H-Pegel und Ra = 10 für L-Pegel. Der typische Wert für die Gatterdurchlaufzeit liegt bei 10 ns. Wie wirkt ein offener Eingang? Bei TTL-Schaltungen wirkt ein offener Eingang so, als läge er auf H-Pegel. 7-35

36 TTL-Schaltungen mit spezieller Ausgangsstufe Zwei digitale Ausgänge dürfen im Allgemeinen nicht miteinander verbunden werden, da sonst Ausgleichsströme fließen und der Logik-Pegel am Ausgang nicht eindeutig ist. Open-Kollektor-Ausgang Abb. 7.39: TTL-NAND-Glied mit offenem Kollektor Es wird nur ein Ausgangstransistor verwendet, dessen Arbeitswiderstand extern hinzugefügt werden muss. Es können mehrere Gatterausgänge an einen Arbeitswiderstand angeschlossen werden ( Wired -Verknüpfungen). Abb. 7.40: Logische Verknüpfung von Gatter-Ausgängen mit offenem Kollektor 7-36

37 Abb. 7.41: Darstellung einer Wired-AND-Verknüpfung mit logischen Symbolen. Das -Symbol in den Gattern bedeutet Open-Collector-Ausgang Three-State-Ausgang Three-State-Ausgänge haben neben den beiden definierten Logik-Zuständen noch einen dritten Zustand, in dem sie abgeschaltet (passiv) sind. Mit Hilfe eines Steuereingangs kann der Ausgang aktiv (niederohmig: H- oder L-Pegel) oder passiv (hochohmig) geschaltet werden. Abb. 7.42: Prinzipschaltung eines NAND-Gatters (Abb. 7.36) mit Three-StateAusgang. EN Three-State Steuereingang 7-37

38 EN auf H-Pegel: Schaltung arbeitet als NAND-Gatter EN auf L-Pegel: T2, T4 und T3 sperren. T3 und T4 arbeiten nicht mehr im Gegentakt. Sie sperren beide, und der Ausgangswiderstand wird hochohmig. Der Ausgang des NAND-Gatters ist abgeschaltet. Gatter mit Three-State-Ausgängen dürfen ausgangsseitig parallel geschaltet werden. Es darf aber nur ein Gatter über den Three-StateSteuereingang EN = 1 aktiviert werden. Abb. 7.43: Parallelschaltung von NANDs mit Three-State-Ausgängen. 7-38

39 Standard-TTL Standardbausteine der TTL-Familie: Grundgatter wie z.b. NICHT (Inverter), UND, ODER, NOR und XOR Flipflops wie z.b. RS-Flipflop, D-Flipflop und JK-F lipflop Multiplexer und Datenselektoren Demultiplexer und Decoder Rechenschaltungen wie z.b. Addierer Komparatoren Zähler und Frequenzteiler Schieberegister Treiber Sonderschaltungen wie z.b. Schmitt-Trigger und Zeitglieder Schaltungen der Standardserie (Bezeichnung 74xx) bestehen aus bipolaren Transistoren, die als Schalter arbeiten. Sie sind so dimensioniert, dass sie beim Durchschalten übersteuert werden und so voll in den Sättigungsbereich gelangen (gesättigte Logik). TTL-Unterfamilien Standard-TTL (7400) Low-Power-TTL (74L00) High-Speed-TTL (74H00) Schottky-TTL (74S00) Low-Power-Schottky-TTL (74LS00) Advanced TTL (74AS00) Advanced Low-Power-Schottky-TTL (74ALS00) 7-39

40 Tab. 7.4: Vergleich: TTL-Unterfamilien Unterfamilie TTL L-TTL H-TTL S-TTL LS-TTL AS-TTL ALS-TTL Bezeichung L00 74S00 74LS00 74AS00 74ALS00 74H00 5V Betriebsspannung Leistung je Glied Signallaufzeit/Schaltzeit max. Schaltfrequenz typ. Störabstand 10 mw 1 mw 23 mw 20 mw 2 mw 8 mw 1,2 mw 10 ns 33 ns 5 ns 3 ns 9,5 ns 1,7 ns 4 ns 40 MHz 13 MHz 80 MHz 130 MHz 50 MHz 230 MHz 100 MHz 1V 0,5 V 0,6 V 0,4 V 0,5 V 7-40

41 NAND-Gatter (SN7400) Abb. 7.44: Anschlussschema und Aufbau der integrierten Schaltung 7400 Offene Eingänge wirken wie anliegende H-Pegel. Man legt daher bei NAND- und UND-Gatter die nicht benötigten Eingänge auf +5 V und bei ODER- und NOR-Gatter auf 0 V. 7-41

42 NAND-Gatter mit offenem Kollektor (open collector): (SN 7401) Abb. 7.45: Anschlussschema und Aufbau der integrierten Schaltung 7401 Gatter mit o.c. dürfen mit ihren Ausgängen parallel geschaltet werden Lastwiderstand RL muss extern eingebaut werden, da der Ausgang ohne Lastwiderstand keinen Pegel ausgeben kann. NOR-Gatter (SN 7402) Abb. 7.46: Anschlussschema und Aufbau der integrierten Schaltung

43 Grenzdaten Tab. 7.5: Grenzwerte bei TTL-Schaltungen 7-43

44 7.4 MOS-Schaltungen Verknüpfungsglieder der MOS-Schaltkreisfamilie und der Unterfamilien sind mit MOS-Feldeffekt-Transistoren aufgebaut. Die MOS-Feldeffekt-Transistoren benötigen fast keine Steuerleistung. Schaltzeiten sind verhältnismäßig lang. 7-44

45 7.4.1 PMOS Es werden selbstsperrende p-kanal-mos-feldeffekttransistoren als Schaltelemente verwendet Abb. 7.47: Einfaches PMOS-Glied (NOR hei positiver Logik) Am Ausgang liegt L Pegel, wenn wenigstens ein FeldeffektTransistoren gesperrt ist. A Ausgang liegt H Pegel, wenn an den Eingängen L-Pegel liegt (Feldeffekt-Transistoren sind durchgesteuert) 7-45

46 Widerstand wird durch einen Feldeffekt-Transistor ersetzt: Abb. 7.48: Übliche Schaltung eines PMOS-Gliedes (NOR hei positiver Logik) Tab. 7.6: Kennwerte von PMOS-Gliedern Betriebsspannung Leistungsaufnahme je Glied Signal-Laufzeit größte Schaltfrequenz Störspannungsabstand -12 V (- 9 V bis - 20 V möglich) 6 mw (bei Ausgangspegel H) 0 mw (bei Ausgangspegel L) 40 ns 10 MHz 5V PMOS-Glieder arbeiten langsam und störsicher. Sie benötigen eine recht große Speisespannung. 7-46

47 7.4.2 NMOS Es werden selbstsperrende n-kanal-mos-feldeffekttransistoren als Schaltelemente verwendet NMOS-Glieder arbeiten etwa so schnell wie Standard-TTLGlieder. Signallaufzeit etwa 10 ns. NMOS-Glieder sind kompatibel zu TTL-Gliedern. Abb. 7.49: NMOS-Verknüpfungsglieder Abb. 7.50: Pegelbereiche der NMOS-Verknüpfungsglieder in Abb

48 Tab. 7.7: Kennwerte von NMOS-gliedern Betriebsspannung Leistungsaufnahme je Glied Signal-Laufzeit größte Schaltfrequenz Störspannungsabstand +5 V 2 mw (bei Ausgangspegel L) 0 mw (bei Ausgangspegel H) 5 ns 80 MHz 2,0 V 7-48

49 7.4.3 CMOS (COS-MOS) Complementary Symmetry-Metal Oxide Semiconductor: komplementärsymmetrischer Metall-Oxid-Halbleiter. Schaltglieder dieser MOS-Unterfamilie sind sowohl mit n-kanal-mos-feldeffekt-transistoren als auch mit p-kanal-mos-feldeffekt-transistoren aufgebaut. Der Schaltungsaufbau zeigt eine starke Symmetrie. Verwendet werden ausschließlich selbstsperrende MOS-FET. Abb. 7.51: Schaltung eines CMOS-NICHT-Gliedes H-Pegel an A: T2 steuert durch (Source und Substrat auf 0 V). UGS = + 5 V. T1 sperrt (Source und Substrat auf + 5 V), wenn das Gate auch + 5 V hat (UGS = 0 V). Wenn T1 sperrt und T2 durchgesteuert ist, liegt am Ausgang Z L-Pegel L-Pegel an A: T2 sperrt (UGS = 0 V). T1: UGS = - 5 V, T1 steuert durch. Wenn T1 durchgesteuert und T2 gesperrt ist, liegt am Ausgang Z H-Pegel. Beim CMOS-NICHT-Glied ist stets ein Transistor gesperrt und der andere durchgesteuert. 7-49

50 Allgemein: CMOS-Glieder sind stets so aufgebaut, dass vom Umschaltaugenblick abgesehen in jedem Stromzweig ein Transistor stets sperrt, während der andere leitend ist. CMOS-Glieder benötigen eine extrem geringe Leistung. Abb. 7.52: Schaltung eines CMOS-Gliedes (NOR hei positiver Logik) Liegt an beiden Eingängen L-Pegel, so werden die Transistoren T1 und T2 durchgesteuert, die Transistoren T3 und T4 sperren. Am Ausgang Z liegt der Pegel H. Liegt an A der Pegel H (+ 5 V) und an B der Pegel L (0 V), so sperrt T1 und T2 steuert durch. T3 steuert durch und zieht Z auf ungefähr 0 V, also auf L-Pegel. T4 ist gesperrt. Z liegt immer dann auf L-Pegel, wenn wenigstens ein Eingang H-Pegel führt. 7-50

51 Tab. 7.8: Kennwerte von CMOS-Gliedern: Betriebsspannung Leistungsaufnahme je Glied Signallaufzeit größte Schaltfrequenz typischer Störabstand Eingangswiderstand Ausgangswiderstand bei H-Pegel bei L-Pegel Ausgangslastfaktor (Fan-out) Eingangsstrom z. B. +5 V 5 bis 10 nw (je nach Schalthäufigkeit) 8 ns 50 MHz 2V > pa (maximal) 7-51

52 NOR- und NICHT-Gatter (CD 4000) Abb. 7.53: CMOS-Schaltung CD 4000 A (RCA) Integrierte Schaltungen in CMOS-Technik können mit sehr großer Integrationsdichte hergestellt werden. Die Störabstände von CMOS-Schaltungen liegen hei etwa 30 % bis 40 % der Speisespannung. 7-52

53 Tab 7.9: Vergleich: MOS-Schaltkreisfamilie Unterfamilie PMOS NMOS CMOS Betriebsspannung -9 bis -12V +5V +3 bis +15V Leistung je Glied bei L-Pegel 6 mw 2 mw Leistung je Glied bei H-Pegel 0 mw 0 mw Signallaufzeit/Schaltzeit 40 ns 5 ns Größte Schaltfrequenz 10 MHz 80 MHz 50 MHz Störspannungsabstand 5V ~2V 5 bis 10 mw 8 ns 2V 7-53

54 7.5 Datenblätter und Anschlussbelegungen Anordnung der digitalen Bauteile auf dem Steckboard 7-54

55 7-55

56 7-56

57 7-57

58 7-58

59 7-59

60 7-60

61 7-61

62 7-62

63 7-63

64 7-64

65 7-65

66 7-66

67 7-67

68 7-68

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Kapitel 3: Boolesche Algebra

Kapitel 3: Boolesche Algebra Inhalt: 3.1 Grundlegende Operationen und Gesetze 3.2 Boolesche Funktionen u. u. ihre Normalformen 3.3 Vereinfachen von booleschen Ausdrücken 3.4 Logische Schaltungen 3.1 Grundlegende Operationen und Gesetze

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

Inhaltsverzeichnis. Inhalt. 1 Einleitung

Inhaltsverzeichnis. Inhalt. 1 Einleitung Inhalt 3 Inhaltsverzeichnis 1 Einleitung 1.1 Digitale und analoge Signale... 9 1.2 Digitale Darstellung... 12 1.3 Datenübertragung... 14 1.4 Aufgaben digitaler Schaltungen... 17 1.5 Geschichte der Digitalrechner...

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS PC & Elektronik Herbert Bernstein PC Digital Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen Labor Mit 317 Abbildungen FRANZIS Inhalt 1 Boolesche Algebra 13 1.1 Mengenalgebra 14 1.1.1 Festlegung und Darstellung

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

ln halt E in leitu ng

ln halt E in leitu ng ln halt E in leitu ng 1 Kurze Einführung in die Grundlagen der digitalen Elektronik 1.1 Was versteht man unter analog und was unter digital? 7.2 Analoge Systeme 1.3 Digitale Systeme I.4 Binäres System

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Grundlagen der Informatik

Grundlagen der Informatik Grundlagen der Informatik Teil III Boolesche Algebra, Signalarten, Elektronische Bauteile Seite 1 Boolesche Algebra George Boole => englischer Mathematiker Mitte 19. Jahrhundert Formale Sicht digitaler

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Technical Note Nr. 101

Technical Note Nr. 101 Seite 1 von 6 DMS und Schleifringübertrager-Schaltungstechnik Über Schleifringübertrager können DMS-Signale in exzellenter Qualität übertragen werden. Hierbei haben sowohl die physikalischen Eigenschaften

Mehr

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann

Protokoll Flip-Flops. Protokollanten: Torsten Görig und Michael Horstmann Protokoll Flip-Flops Protokollanten: Torsten Görig und Michael Horstmann Versuchsdatum: 15.06.2001 1 Protokollvorbereitung 1.1 Flipflop 1.1.1 Schaltung des SR-Flipflops mit zwei NOR-Gattern 1.1.2 Schaltung

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Schalten mittels Transistor

Schalten mittels Transistor Manfred Kämmerer Technische Informatik Seite 1 Schalten mittels Transistor Der Transistor, der in Abbildung 1 gezeigten Schaltung (BC 237), arbeitet als elektronischer Schalter in Emitterschaltung. Die

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik b J K Q Q Praktikum igitaltechnik Q Q achelor-studium KoSI Praktikumsunterlagen Versuch GT Grundlagen der kombinatorischen Logik.Praxisnahes Kenne nlernen eines Is. Gegeben sind die PIN-elegungen von 4

Mehr

Darstellungsformen einer Funktion

Darstellungsformen einer Funktion http://www.flickr.com/photos/sigfrid/348144517/ Darstellungsformen einer Funktion 9 Analytische Darstellung: Eplizite Darstellung Funktionen werden nach Möglichkeit eplizit dargestellt, das heißt, die

Mehr

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V Kojak-Sirene: Experimente zur Funktionsweise 1. astabile Kippstufe 2. astabile Kippstufe Die Schaltung der Kojak-Sirene besteht aus zwei miteinander verbundenen astabilen Kippstufen (Anhang) und einem

Mehr

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1. Inhaltsverzeichnis Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.2 Binäre und logische Zustände 18

Mehr

Grundlagen der Datenverarbeitung

Grundlagen der Datenverarbeitung Grundlagen der Datenverarbeitung Bauelemente Mag. Christian Gürtler 5. Oktober 2014 Mag. Christian Gürtler Grundlagen der Datenverarbeitung 5. Oktober 2014 1 / 34 Inhaltsverzeichnis I 1 Einleitung 2 Halbleiter

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet.

Aufgabe 1 Berechne den Gesamtwiderstand dieses einfachen Netzwerkes. Lösung Innerhalb dieser Schaltung sind alle Widerstände in Reihe geschaltet. Widerstandsnetzwerke - Grundlagen Diese Aufgaben dienen zur Übung und Wiederholung. Versucht die Aufgaben selbständig zu lösen und verwendet die Lösungen nur zur Überprüfung eurer Ergebnisse oder wenn

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen

Digital Design. Digital Design SS Prof. Dr. Richard Roth. 6 SWS SU und Übungen SS 2005 Prof. Dr. Richard Roth 6 SWS SU und Übungen Richard Roth / FB Informatik und Mathematik Schaltungstechnische Grundlagen 1 Literatur zur Vorlesung DD [1] PERNARDS, P..; Digitaltechnik Hüthig, 1992

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

LANGFRISTIGE HAUSAUFGABE (LINEARE GLEICHUNGSSYSTEME)

LANGFRISTIGE HAUSAUFGABE (LINEARE GLEICHUNGSSYSTEME) LANGFRISTIGE HAUSAUFGABE (LINEARE GLEICHUNGSSYSTEME) Aufgabe 1: Tanzkurs ( * ) Zu einem Tanzkurs erscheinen dreimal so viele Mädchen wie Jungen. Nachdem 15 Mädchen gegangen sind, sind noch doppelt so viele

Mehr

Austausch- bzw. Übergangsprozesse und Gleichgewichtsverteilungen

Austausch- bzw. Übergangsprozesse und Gleichgewichtsverteilungen Austausch- bzw. Übergangsrozesse und Gleichgewichtsverteilungen Wir betrachten ein System mit verschiedenen Zuständen, zwischen denen ein Austausch stattfinden kann. Etwa soziale Schichten in einer Gesellschaft:

Mehr

4 Binäres Zahlensystem

4 Binäres Zahlensystem Netzwerktechnik achen, den 08.05.03 Stephan Zielinski Dipl.Ing Elektrotechnik Horbacher Str. 116c 52072 achen Tel.: 0241 / 174173 zielinski@fh-aachen.de zielinski.isdrin.de 4 inäres Zahlensystem 4.1 Codieren

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Physik-Übung * Jahrgangsstufe 9 * Der Transistor Blatt 1

Physik-Übung * Jahrgangsstufe 9 * Der Transistor Blatt 1 Physik-Übung * Jahrgangsstufe 9 * Der Transistor latt 1 Aufbau eines Transistors Ein npn-transistor entsteht, wenn man zwei n-dotierte Schichten mit einer dünnen dazwischen liegenden p-dotierten Schicht

Mehr

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung.

Die Gleichung A x = a hat für A 0 die eindeutig bestimmte Lösung. Für A=0 und a 0 existiert keine Lösung. Lineare Gleichungen mit einer Unbekannten Die Grundform der linearen Gleichung mit einer Unbekannten x lautet A x = a Dabei sind A, a reelle Zahlen. Die Gleichung lösen heißt, alle reellen Zahlen anzugeben,

Mehr

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung)

Schaltbild E Tec Module Schaltbeispiel (Prüfschaltung) E Tec Module rt.nr.08227. Spezialprogramme für Digitaltechnik Für Freunde der Digitaltechnik sind im "E Tec Module" noch weitere vier Programme enthalten, die über die Dipschalter eingestellt werden. Diese

Mehr

1 Mathematische Grundlagen

1 Mathematische Grundlagen Mathematische Grundlagen - 1-1 Mathematische Grundlagen Der Begriff der Menge ist einer der grundlegenden Begriffe in der Mathematik. Mengen dienen dazu, Dinge oder Objekte zu einer Einheit zusammenzufassen.

Mehr

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben.

Der Aufruf von DM_in_Euro 1.40 sollte die Ausgabe 1.40 DM = 0.51129 Euro ergeben. Aufgabe 1.30 : Schreibe ein Programm DM_in_Euro.java zur Umrechnung eines DM-Betrags in Euro unter Verwendung einer Konstanten für den Umrechnungsfaktor. Das Programm soll den DM-Betrag als Parameter verarbeiten.

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen

Binäre Bäume. 1. Allgemeines. 2. Funktionsweise. 2.1 Eintragen Binäre Bäume 1. Allgemeines Binäre Bäume werden grundsätzlich verwendet, um Zahlen der Größe nach, oder Wörter dem Alphabet nach zu sortieren. Dem einfacheren Verständnis zu Liebe werde ich mich hier besonders

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm. Praktikum Physik Protokoll zum Versuch: Kennlinien Durchgeführt am 15.12.2011 Gruppe X Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.de) Betreuer: Wir bestätigen hiermit, dass wir das Protokoll

Mehr

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode

Dabei ist der differentielle Widerstand, d.h. die Steigung der Geraden für. Fig.1: vereinfachte Diodenkennlinie für eine Si-Diode Dioden - Anwendungen vereinfachte Diodenkennlinie Für die meisten Anwendungen von Dioden ist die exakte Berechnung des Diodenstroms nach der Shockley-Gleichung nicht erforderlich. In diesen Fällen kann

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

7 Rechnen mit Polynomen

7 Rechnen mit Polynomen 7 Rechnen mit Polynomen Zu Polynomfunktionen Satz. Zwei Polynomfunktionen und f : R R, x a n x n + a n 1 x n 1 + a 1 x + a 0 g : R R, x b n x n + b n 1 x n 1 + b 1 x + b 0 sind genau dann gleich, wenn

Mehr

Übung RA, Kapitel 1.2

Übung RA, Kapitel 1.2 Übung RA, Kapitel 1.2 Teil 1: Zahlen und Logik A) Aufgaben zu den ganzen Zahlen 1. Konvertieren Sie die folgenden Zahlen in die Binärform: 1984 Immer durch 2 teilen, der Rest ergibt das Bit. Jeweils mit

Mehr

Übungsaufgaben zum 5. Versuch 13. Mai 2012

Übungsaufgaben zum 5. Versuch 13. Mai 2012 Übungsaufgaben zum 5. Versuch 13. Mai 2012 1. In der folgenden Schaltung wird ein Transistor als Schalter betrieben (Kennlinien s.o.). R b I b U b = 15V R c U e U be Damit der Transistor möglichst schnell

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

der einzelnen Aussagen den Wahrheitswert der zusammengesetzten Aussage falsch falsch falsch falsch wahr falsch wahr falsch falsch wahr wahr wahr

der einzelnen Aussagen den Wahrheitswert der zusammengesetzten Aussage falsch falsch falsch falsch wahr falsch wahr falsch falsch wahr wahr wahr Kapitel 2 Grundbegriffe der Logik 2.1 Aussagen und deren Verknüpfungen Eine Aussage wie 4711 ist durch 3 teilbar oder 2 ist eine Primzahl, die nur wahr oder falsch sein kann, heißt logische Aussage. Ein

Mehr

Arbeitspunkt einer Diode

Arbeitspunkt einer Diode Arbeitspunkt einer Diode Liegt eine Diode mit einem Widerstand R in Reihe an einer Spannung U 0, so müssen sich die beiden diese Spannung teilen. Vom Widerstand wissen wir, dass er bei einer Spannung von

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

2. Negative Dualzahlen darstellen

2. Negative Dualzahlen darstellen 2.1 Subtraktion von Dualzahlen 2.1.1 Direkte Subtraktion (Tafelrechnung) siehe ARCOR T0IF Nachteil dieser Methode: Diese Form der Subtraktion kann nur sehr schwer von einer Elektronik (CPU) durchgeführt

Mehr

Aufbau und Bestückung der UHU-Servocontrollerplatine

Aufbau und Bestückung der UHU-Servocontrollerplatine Aufbau und Bestückung der UHU-Servocontrollerplatine Hier im ersten Bild ist die unbestückte Platine zu sehen, die Bestückung der Bauteile sollte in der Reihenfolge der Höhe der Bauteile geschehen, also

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

Schaltungen Jörg Roth 197

Schaltungen Jörg Roth 197 Schaltungen Jörg Roth 197 2.2.2 Flipflops Flipsflops sind einfache rückgekoppelte Schaltungen, die jeweils ein einzelnes Bit speichern können. Es gibt verschiedene Typen, die sich im "Komfort" der Ansteuerung

Mehr

LU-Zerlegung. Zusätze zum Gelben Rechenbuch. Peter Furlan. Verlag Martina Furlan. Inhaltsverzeichnis. 1 Definitionen.

LU-Zerlegung. Zusätze zum Gelben Rechenbuch. Peter Furlan. Verlag Martina Furlan. Inhaltsverzeichnis. 1 Definitionen. Zusätze zum Gelben Rechenbuch LU-Zerlegung Peter Furlan Verlag Martina Furlan Inhaltsverzeichnis Definitionen 2 (Allgemeine) LU-Zerlegung 2 3 Vereinfachte LU-Zerlegung 3 4 Lösung eines linearen Gleichungssystems

Mehr

TECHNISCHE UNIVERSITÄT MÜNCHEN

TECHNISCHE UNIVERSITÄT MÜNCHEN TECHISCHE UIVERSITÄT MÜCHE Zentrum Mathematik PRF. R.R. JÜRGE RICHTER-GEBERT, VAESSA KRUMMECK, MICHAEL PRÄHFER Höhere Mathematik für Informatiker I (Wintersemester 003/004) Aufgabenblatt 1 (4. ktober 003)

Mehr