Untersuchungen zur Implementierung digitaler Filter auf FPGAs. von Alfred Marganitz

Größe: px
Ab Seite anzeigen:

Download "Untersuchungen zur Implementierung digitaler Filter auf FPGAs. von Alfred Marganitz"

Transkript

1 Untersuchungen ur Implementierung digitler Filter uf FPGAs von Alfred Mrgnit

2 Alln V. Oppenheim, MIT: Zur digitlen Signlverrbeitung benötigt mn einen ADU, DAU und einen Signlproessor um dsselbe u erreichen, wou ein Widerstnd und Kondenstor genügt.

3 Inhlt. Strukturen und Design digitler Filter. FPGA 3. FixedpointArithmetik konstnter Wortlänge Addition Multipliktion BoothAlgorithmus 4. FIRFilter direkte Form direkte Form mit Prtilsummenbildung trnsponierte direkte Form verteilte Arithmetik 5. Wellendigitlfilter Elemente AbweigWellendigitlfilter BrückenWellendigitlfilter WDF vs. FIR und IIR 6. Zusmmenfssung

4 . Strukturen und Design digitler Filter digitles Filter (Tolernschem) bilinere Trnsformtion nloges Beugsfilter (Tolernschem) H(f) : Frequengng PrksMcClellnAlgorithmus h(k) : Impulsntwort FIRFilter H() : Übertrgungsfunktion TustinFormel H(p) IIRFilter AllpßDekomposition BrückenWellendigitlfilter AbweigWellendigitlfilter Wellenvriblen, Adptoren LCFilter

5 . FPGA (Field progrmmble Gte Arry) SchltkreisElemente der SprtnFPGA (Firm Xilinx): CLB (configurble logic block, one CLB four Slices) IOB (input/output block) PSM (progrmmble interconnect)

6 Sprtn3Slice

7 Implementierung digitler Filter durch SoPCTechnologie Filterdesign VHDLModell Simultion/Bcknnottion Synthese Fitting/PlceRoute FPGA DSVSystem mit FPGA

8 Bei der SoPCTechnologie sind die Grenen eines relisierbren Filters durch die FPGARessourcen festgelegt: Anhl n CLB (configurble logic blocks, CLB 4 Slices) Anhl n dedicted Multipliers (HrdcoreMultipliierer) RAMSpeichervolumen Anhl n I/O Device System Totl No. of User Block Gtes CLB Multipliers I/O RAM Bits XC3S5 5K K XS3C K K XC3S4 4K K XC3S M K XC3S5,5M K Sprtn3 FPGA (Firm Xilinx)

9 3. FixedPointArithmetik konstnter Wortlänge Probleme bei rithmetischen Opertionen mit Festkommhlen: beschränkter Zhlenbereich beschränkte Genuigkeit OverflowFehler bei Addition Reduktionsfehler bei Multipliktion

10 (i) nbitkomplementhlen ) gne Zhlen n n. n n. n 3 n 3 n n n n n n. Z Z... Z b) gebrochene Zhlen Z Z... Z Z.(n) n.(n) n 3 n n n n n. ).(n

11 (ii) Addition von 8BitKomplementhlen.7, b.7 S. 7 S b Allg. gilt: [ ] B Wenn:.7 b.7 > S.7.7 b. 7 Overflow Fehler

12 (iii) Fortlufende nfche Addition von 8Bit Komplementhlen Wenn: ν und S n ν ν ; mit S S.7 n ν ν B n ν ν S Für eine beliebige Teilsumme: St: S (k) k ν k k S.7(k) ν ν ν ν B ν ; mit < k < n gilt i..: S(k) Bei einer fortlufenden Addition von Komplementhlen im.kformt kompensieren sich die OverflowFehler genu dnn, wenn der Betrg der Endsumme uf den Wert beschränkt ist.

13 (iv) Multipliktion von 8BitKomplementhlen Multipliktion fester Wortlänge von signed Integers im.7formt p p p b 8. b b Reduktion des 6BitProduktwertes P.4 P.7 uf 8 Bit durch: Truncte Round Round ero men error

14 (v) Reduktionsfehler bei FixedPointMultipliktion () Truncte 7 Bit 8 Werte Fehler: ε 7 P.7 P.4 < ε Erwrtungswert des Fehlers: E 7 [] 7 ε ε ν pν ν 7 ν ν 4 7 5,39

15 (b) Round Abrunden: ε P.7 P.4 Aufrunden: ε P.7 7 P.4 Fehler: ε P P.4 < ε Erwrtungswert des Fehlers: E ν ν ν ν [] ( 7 4 ) 5 ε p ν ν ε ν

16 Truncte Round Round ero men error p : signed()*signed(b); y : p(4 downto 7); 7 < ε < E[ ε ] 7 5 8x8Mul Dely: 4,76 ns p : signed() * signed(b); pt : p(4 downto 7); d : ""&p(6); pr : pt d; y < std_logic_vector(pr); 8x8Mul 8x8Add 5 Slices 8 < ε < 8 E[ ε ] 5 Dely: 7,73 ns p : signed() * signed(b); pt : p(4 downto 7); h : p(6 downto ); d : ""&p(6); if h 64 nd p(7) ' then pr : pt; else pr : pt d; end if; y < std_logic_vector(pr); 8x8Mul 8x8Add Slices 8 < ε < 8 E[ ε ] Dely: 9,37 ns

17 (vi) Signed Integers im BoothFormt : mit ; ) ( ) ( ) ( ν ν ν ν ν ν ν ν ν ν ν ν ν β β n n n n n ) ( gilt für n Bit signed Integers: },, { : : Mit (vii) Multipliktion von signed integers nch dem Booth Algorithmus Shift Add MD MD MD MR P n MD} MD,, { n β β ν ν ν ν ν ν 43 4

18 Beispiel: Multipliktion von wei 4bit signed integers nch dem BoothAlgorithmus LUT blegen β β β β

19 RessourcenBedrf eines nbitboothmultipliierers Rdix signed Integer Drstellung im BoothFormt LUT Umfng Add n ν ( ν ν) 443 ν 4 Werte 4 n n 3 n ν ( ν ν ν Werte ) ν 8 n n 4 n 3 ν ( 4 4 3ν 3ν 3ν 3ν Werte ) 3ν 6 n n 3 5 n 4 ν ( ν 3 4ν 4ν 4ν 4ν Werte ) 4ν 3 n n 4

20 VHDLModell RdixBoothAlgorithmus constnt ero : signed(7 downto ) : X""; type tble is rry( to 3) of signed(7 downto ); begin process(x,y) vrible p_6 : signed(5 downto ); vrible md, y_neg : signed(7 downto ); vrible dr : std_logic_vector( downto ); vrible dr_bit : bit_vector( downto ); vrible dr_int : integer; vrible tble : tble; begin MultiplikndTbelle nlegen y_neg : erosigned(y); tble() : ero; tble() : signed(y); MD tble() : y_neg; MD tble(3) : ero; Add/Shift p_6 : X""; for i in to 7 loop if i then dr : x()&""; else dr : x(i)&x(i); end if; dr_bit : to_bitvector(dr); dr_int : bvint(dr_bit); md : tble(dr_int); Tble Fetch p_6 : p_6md&""; Add p_6 : p_6(5)&p_6(5 downto ); end loop; p < std_logic_vector(p_6); end process; Shift

21 VHDLModell Rdix3BoothAlgorithmus constnt ero : signed(8 downto ) : ""; type tbelle is rry( to 7) of signed(8 downto ); process(x,y) vrible p_6 : signed(5 downto ); vrible mr, y_9, y_9_,y_9_neg,y_9 neg : signed(8 downto ); vrible dr : std_logic_vector( downto ); vrible dr_bit : bit_vector( downto ); vrible dr_int : integer; vrible tble : tbelle; begin y_9 : signed(y(7)&y); y_9 neg : ero y_p_; y_9_ : y_9(7 downto )&""; y_9 neg : ero y_p_; tble() : ero; tble() : y_9; MD tble() : y_9; MD tble(3) : y_9_; MD tble(4) : y_9 neg; MD tble(5) : y_9_neg; MD tble(6) : y_9_neg; MD tble(7) : ero; p_6 : X""; for i in to 3 loop if i then dr : x()&x()&""; else dr : x(*i)&x(*i)&x(*i); end if; dr_bit : to_bitvector(dr); dr_int : bvint(dr_bit); mr : tble(dr_int); p_6 : p_6(mr&""); if i < then p_6 : p_6(5)&p_6(5)&p_6(5 downto ); else p_6 : p_6(5)&p_6(5 downto ); end if; end loop; p < std_logic_vector(p_6); end process;

22 Syntheseergebnisse 8x8BoothMultipliierer Mcros Vrible Vrible Konstnte Vrible Rd Rd3 Rd4 Rd5 Rd Rd3 Rd4 Rd5 Slices LUT xROM 6xROM 3 6Add Add 3 Add 6 9Add 8Add T CLK (ns) 3,38 7,56 8,95 3,83 3,65,88,7 6,94

23 PthDely 8x8BoothMultipliierer 35 T CLK (ns) 3 5 Vr x Vr 5 Const x Vr 5 Hrdcore8x8MUL Rdix

24 RessourcenBedrf eines 8x8BoothMultipliierers Slices 5 Vr x Vr 5 Const x Vr 3 4 Rdix 5

25 4. FIRFilter Beispiel: Tiefpßfilter δ D H(f ) Abtstrte: kh f f δ δ D S D S,8 kh,4 kh,db 4 db δ S fd fs f ,5355,35793,6443,5397,96554,3 Filterkoeffiienten

26 Ds Filter wurde jeweils in Form der vier Strukturen: direkte Form direkte Form mit Teilsummenbildung trnsponierte Form direkte Form mit Teilsummenbildung und verteilter Arithmetik uf einem FPGA (Sprtn3, Firm Xilinx) implementiert. Dbei wurden die: Signlwerte und Filterkoeffiienten ls 8Bit signed integers im.7formt drgestellt, Multipliktionen mit den HrdcoreMultipliers des FPGA durchgeführt.

27 4. Direkte Form Differenengleichung : y(k) ν ν x(k ν) VHDLModell: if clk event nd clk then x() < x_in; y < std_logic_vector(sop(4 downto 7)); for i in downto loop x(i) < x(i); end loop; end if; sop : for i in downto loop sop : sop coeff(i)*x(i); end loop;

28 librry IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fir is end fir; generic (N : integer :); port (x_in : in std_logic_vector(7 downto ); clk : in std_logic; y : out std_logic_vector(7 downto )); rchitecture behviorl of fir is type tp_line is rry ( to N) of std_logic_vector(7 downto ); signl x : tp_line; type tble is rry( to N) of signed(7 downto ); constnt coeff : tble : (X"FF",X"FB",X FD",X"7",X"9",X"8", X"8",X"9",X"7",X"FD",X"FB", X"FF ); begin process(clk) vrible sop : signed(5 downto ); begin if (clk'event nd clk'') then for i in N downto loop x(i) < x(i); end loop; x() < x_in; y < std_logic_vector(sop(4 downto 7)); end if; sop : X""; for i in to N loop sop : sop coeff(i)*signed(x(i)); end loop; end process; end behviorl;

29 4. Direkte Form mit Prtilsummenbildung Aufgrund der symmetrischen Koeffiienten lssen sich die 6 Prtil Summensignle bilden: S ν x(k ν) x(k ν); für ν 5

30 Dmit ergibt sich die Differenengleichung des Filters u: y(k) 5 ν ν S ν 5 ν ν ( x(k ν) x(k ν) ) VHDLModell: sop : ; for i in to 5 loop sop : sop coeff(i)*(x(i) x(i)); end loop; y < std_logic_vector(sum(4 downto 7));

31 4.3 Trnsponierte Form Mit dem Umkehrtheorem läßt sich die direkte Form in die trnsponierte Form umwndeln. Hierfür luten die Differenengleichungen: v v i (k) (k) y(k) i x(k) x(k) x(k) v v i (k ); für (k ) i

32 VHDLModell mit HrdcoreMultipliktion process(clk) begin if clk event nd clk ; x_int : signed(x); y < std_logic_vector(v()); for i in to loop u(i) : v(i); end loop; end if; for i in to loop if i then op_6 : (i)*x_int; v(i) : op_6(4 downto 7); else op_6 : (i)*x_int; v(i) : u(i)op_6(4 downto 7); end if; end loop; end process;

33 VHDLModell mit SoftcoreMultipliktion constnt coeff : coeff_tble : ( (""&X"",""&X"F3",""&X"F3",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), (""&X"",""&X"FB",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X""), (""&X"",""&X"FD",""&X"FD",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), (""&X"",""&X"7",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X""), 3 (""&X"",""&X"9",""&X"F3",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), 4 (""&X"",""&X"8",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X""), 5 (""&X"",""&X"7",""&X"F3",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), 6 (""&X"",""&X"7",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X""), 7 (""&X"",""&X"9",""&X"F3",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), 8 (""&X"",""&X"FA",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X""), 9 (""&X"",""&X"F4",""&X"F3",""&X"E6",""&X"A",""&X"D",""&X"D",""&X""), (""&X"",""&X"F5",""&X"FB",""&X"F6",""&X"A",""&X"5",""&X"5",""&X"")); process(clk) begin if (clk'event nd clk'') then x_int : signed(x); y < std_logic_vector(v()); for i in to loop u(i) : v(i); end loop; end if; for i in to loop if i then op_6 : b3_mul(std_logic_vector(x_int),i,coeff); v(i) : op_6(4 downto 7); else op_6 : b3_mul(std_logic_vector(x_int),i,coeff); v(i) : u(i)op_6(4 downto 7); end if; end loop; end process;

34 4.4 Prinip der verteilten Arithmetik Beispiel: y x ν ν ν Für 4BitZhlen im.3formt gilt: x [ x(3) x() x() x() ] µ3 αµ x( µ ) ; mit : αµ 3 µ ; für µ ; für µ 3 y 3 3 µ3 µ3 ν αµ x ν ( µ ) αµ ν x ν ( µ ν µ µ ν ) y 3 α µ µ3 ( x ( µ ) x ( µ ) x ( µ )) µ Werte; d x ν ( µ ) {,} Legt mn diese 8 Werte in einer LUT b, so ergibt sich folgende Struktur:

35

36 4.5 FIRFilter mit verteilter Arithmetik Geht mn dvon us, dß die 6 Signlwerte S ν ls 9BitZhlen im.7formt drgestellt werden, so gilt hierfür: S ( s(8) s(7) s(6) s(5) s(4) s(3) s() s() s() ) S 8 µ α µ s( µ ) µ7 ; mit : α µ ; für µ ; für µ 8 7

37 Dmit ergibt sich die Differenengleichung des FIRFilters u: ( ) µ µ µ ν µ µ ν ν ν µ µ µ ν µ ν ν ν ν µ µ µ µ µ µ α µ α µ α Werte ) ( s ) ( s ) ( s ) ( s ) ( s ) ( s ) ( s ) ( s S y Legt mn die 64 Werte in einer LUT b, so beträgt deren Speichervolumen Bit

38 Dieses Speichervolumen läßt sich verringern, wenn mn die Differenengleichung: ( ) µ µ µ µ µ µ µ µ µ α Werte ) ( s ) ( s ) ( s ) ( s ) ( s ) ( s y umformt u: ( ) ( ) ) ( s ) ( s ) ( s ) ( s ) ( s ) ( s y Werte Werte µ µ µ µ µ µ µ µ µ α µ µ µ α Die jeweiligen 8 Werte werden in wei LUT bgelegt. Dmit ergibt sich ein Speichervolumen Bit ws einer Verringerung uf 5 % entspricht. Allerdings vergrößert sich der Bedrf n rithmetischen Opertionen um eine 8BitAddition.

39

40 Synthesis Report FIRFilter. Ordnung HrdcoreMultipliktion Form Slices 4 LUT Hrd Mul 6bit Add 8bit Add 6bit Add ROM CLK (ns) direkt 4 65,4 direkt p.s ,89 dir.trnsp ,45 SoftcoreMultipliktion (Rd3Booth) Form Slices 4 LUT 3bit Add 6bit Add 8bit Add 6bit Add ROM CLK (ns) direkt ,3 dir.trnsp , vert. Arith x8,9

41 Synthesis Report FIRFilter. Ordnung T CLK (ns) 3 5 vert. Arithm. direkt SM direkt HM 5 direkt prt. Sum. HM trnsp. HM trnsp. SM Slices

42 5. Wellendigitlfilter Problem bei der Diskretisierung nloger Filter: verögerungsfreie Schleife

43 Problem: Ds diskrete System enthält verögerungsfreie Schleifen und ist dmit nicht relisierbr. Abhilfe: Beschreibung der Torgrößen eines nlogen Netwerks durch Wellenprmeter (A. Fettweis, 97). I U Z Z B A I Z U B I Z U A w w w w PolBeschreibung mit Wellenprmetern

44 Beispiel: Kondenstor ls diskretes System mit Wellenvriblen I Z U B I Z U A w w ω C p I C j I U : mit T C R : mit ; C R p C R p A B I Z C p B I Z C p A w w f p : mit ; T p T p A B (k ) b(k) A() () B reflektierte Welle einfllende Welle, T ver. (k) b(k)

45 Elemente der Wellendigitlfilter Widerstnd Kondenstor R C T

46 Induktivität L R T Spnnungsquelle mit Innenwiderstnd R R R R R R R

47 3TorSeriendptor 3 3 I I I U ν ν ν ν ν (k) (k) (k) r r r r r r r r r (k) b (k) b (k) b : {,,3} gilt ; R R R R r : Mit w3 w w w D r r r 3, ergibt sich mit r 3 : (k) (k) (k) r r r r r r (k) b (k) b (k) b 3 3 womit Port 3 reflexionsfrei ist.

48 3TorPrlleldptor ν ν 3 3 I U U U ν ν ν (k) (k) (k) g g g g g g g g g (k) b (k) b (k) b : {,,3} gilt ; g : Mit R R R R w 3 w w w D g g g 3, ergibt sich mit g 3 : (k) (k) (k) g g g g g g (k) b (k) b (k) b 3 3 womit Port 3 reflexionsfrei ist.

49 Übertrger w R w R I I U w U I R U B I R U A I R U B I R U A (k) w (k) b () A w () B (k) (k) b () A B () w w

50 Regeln Zusmmengeschltete Tore müssen gleichen Wellenwiderstnd eigen. Im Net dürfen keine verögerungsfreie Schleifen entstehen.

51 . Beispiel: Tiefpßfilter H(f ) δ D Abtstrte: kh f f δ δ D S D S,8 kh,4 kh,db 4 db δ S fd fs f

52 ) H (f D f S f δ D δ S f,65339,3483,7457,588.36,36 () H AllpßDekomposition Tolernschem: LCNetwerk AbweigWDF BrückenWDF

53 A) AbweigWellendigitlfilter LCNetwerk: C 9,8 nf C,3 nf C 3 C L,7 mh AWDF: SAdptor PAdptor mit C PAdptor mit C3 PAdptor mit C, L

54 Ds WDF ht wei Eingngssignle x, x und wei Ausgngssignle y, y. Definiert mn die vier Übertrgungsfunktionen:

55 Ds WDF ht wei Eingngssignle x, x und wei Ausgngssignle y, y. Definiert mn die vier Übertrgungsfunktionen: H H Y X Y X x x und und H H Y X Y X x x H H und H H Weiterhin sind die Übertrgungsfunktionen prweise leistungskomplementär. Es besteht die Beiehung (FeldkellerGeset): H (f ) H(f ) H(f ) H(f )

56 H(f) /db H (f ) H (f ) f/h Komplementäre Frequengänge des WDF

57 Sklierung bei FestkommArithmetik Forderung: Für ein beliebiges uf x(k) < beschränktes Eingngssignl müssen lle internen Signle v i (k) des Systems ebenflls uf v i (k) < beschränkt sein. Fltungsst : IFT : l L Norm : Norm : h i H v v i i i (k) (k) k h i π h (k) x(k) i lim p π π (k) π mx H ( Ω) H ( Ω) X( Ω) e i i π π H ( Ω) i p dω jωk p dω : Breitbnd Sklierung :Schmlbnd Sklierung

58 h h h (k) k, mx H (f ), c /,7866,3589 c /,6,4758

59 Übertrger AbweigWDF mit Sklierung

60 Modellierung des AbweigWellendigitlfilters s_dptor p_dptor_ p_dptor_

61 VHDLModell des TiefpßAbweigWellendigitlfilters component p_dptor_ generic(g : signed(7 downto )); port(,, 3 : in std_logic_vector(7 downto ); b, b, b3 : out std_logic_vector(7 downto )); end component; component s_dptor port(,, 3 : in std_logic_vector(7 downto ); b, b, b3 : out std_logic_vector(7 downto )); end component; component p_dptor_ port(,, 3 : in std_logic_vector(7 downto ); b, b, b3 : out std_logic_vector(7 downto )); end component; signl x_in,c_in,l,l_in,c_in,c_out,c_out : std_logic_vector(7 downto ); signl c3_out,l_out,c3_in,s,s,dy,r,r,h,h,y_out : std_logic_vector(7 downto ); constnt ero : std_logic_vector(7 downto ) : X""; begin u: p_dptor_ generic mp (X"A") port mp (x_in,c_out,r,dy,c_in,h); u: s_dptor port mp (h,s,r,r,s,h); u3: p_dptor_ port mp (h,c3_out,ero,r,c3_in,y_out); u4: p_dptor_ generic mp(x"3c") port mp (c_out,l_out,s,c_in,l,s); process(clk,x) vrible l_s : signed(7 downto ); begin if clk'event nd clk'' then x_in < x; c_out < c_in; c_out < c_in; c3_out < c3_in; l_out < l_in; y < y_out; end if; l_s : signed(ero)signed(l); l_in < std_logic_vector(l_s); end process;

62 B) BrückenWellendigitlfilter (i) AllpßDekomposition der Übertrgungsfunktion: Unter bestimmten Vorussetungen läßt sich die Übertrgungsfunktion H() eines diskreten Systems Nter Ordnung drstellen durch: H() [ A() B() ] A() und B() sind dbei wei stbile Allpßfilter mit A(Ω) B(Ω) ; für < Ω < π, wobei für: (i) N ungerde, A() und B() reelle Koeffiienten und N n A n B (ii) N gerde, A() und B() komplexe Koeffiienten und n A n B N/ Bemerkung: (i) Butterworth, Tchebycheff und CuerFilter erfüllen die Vorussetungen. (ii) Die Polstellen von H() sind über die sog. pole interlce property mit denen von A() und B() verknüpft.

63 Beispiel: AllpßDekomposition eines TiefpßFilters H() ( A() B() ) A B 4 H( Ω) A( Ω) B( Ω) e jϕ ( Ω) e jϕ ( Ω) φ A (Ω) φ B (Ω) π H(Ω) Ω

64 Bemerkung: Bildet mn mit den Allpßfiltern A(), B() die Übertrgungsfunktionen: (i) (ii) H() : H ~ () : ( A() B() ) ( A() B() ) so sind die ugehörigen Amplitudengänge leistungskomplementär. Es gilt somit: H( Ω) H ~ ( Ω) ; für Ω π

65 PolstellenInterlceKonfigurtion Sett sich eine Übertrgungsfunktion H() nter Ordnung, mit n ungerde, us wei Allpßfiltern A(), B() usmmen, so sind die Polstellen der beiden Allpßfilter gemäß einer InterlceKonfigurtion vollständig in den n Polstellen von H() enthlten. Ordnet mn die n Polstellen von H(): jθv pν rν e ; mit ν,..., n, so dß : Θ < Θ < Θ3 <... < Θn dnn gilt: (i) Pole A(): p, p 3,, p n (ii) Pole B(): p, p 4,, p n Definiert mn: (i) D A () ( n ) ( n) Π ( pk ) ; (ii) DB() Π k k ( p k ) dnn gilt: A() D D A () () ( n ) A (n) B() D D B B () () mit : D() : D ( ) (prkonjugiert)

66 (ii) AllpßDekomposition des Tiefpßfilters H(),36.36,588,743,348, Imginry Prt ,6534,348 A(),348,6534,588 (),588 B Rel Prt

67 (iii) AllpßRelisierung durch TorPrlleldptoren ( ),6534,348,348,6534 A(),588,588 B() mit ; B() A(),6534,348,743,588.36,36 H() Allpß. Ordnung Allpß. Ordnung ) (g g A B () H ) (g ) (g g ) (g g g A B () H g g g

68 (iv) Struktur des BrückenWDF:,588 (),588 B g,48 x(k) y~ (k):hochpß y(k) : Tiefpß g,6534,6534,348 A(),348,6534 g,987 Component

69 VHDLModell des TiefpßBrückenWellendigitlfilters rchitecture structurl of b_wdf is component p_dptor generic(g : signed(7 downto )); port(, : in std_logic_vector(7 downto ); b,b : out std_logic_vector(7 downto )); end component; signl x_in,v,v,v3,u,u,u3,u4,y,y,y_out : std_logic_vector(7 downto ); signl u,u,u3,u4,y,y,y_out : std_logic_vector(7 downto ); begin p: p_dptor generic mp(x"3d") port mp(x_in,v,y,u); p: p_dptor generic mp(x"c3") port mp(x_in,u4,y,u); p3: p_dptor generic mp(x"57") port mp(v,v3,u4,u3); process(clk) vrible y_s : signed(7 downto ); begin if clk'event nd clk'' then x_in < x; v < u; v < u; v3 < u3; y < y_out; end if; y_s : signed(y) signed(y); y_out < std_logic_vector(y_s); end process; end structurl;

70 WDF vs. FIR. Ordnung FIR. Ordnung WDF H(f)/dB f/h Amplitudengng

71 . FIR. WDF H(f)/dB f/h Amplitudengng im Durchlßbereich

72 Tiefpß Synthesis Report mit dem FPGA XC3 C Form Slices 4 LUT 8x8 Mul 6bit Add 8bit Add 6bit Add ROM CLK (ns) direkt ,97 Prt. Sum ,89 trnspon ,56 vert. Arith x8,9 AWDF ,57 BWDF ,9

73 Tiefpß Synthesis Report mit dem FPGA XC3 C 6 5 T CLK (ns) AWDF 4 3 BWDF DA direkt trnsp. prt. Sum Slices

74 Beispiel : Bndpßfilter H(f)/dB.. FIR N 46 IIR N f/kh Tolernschem des digitlen Bndpßfilters mit f kh

75 A) BndpßAbweigWellendigitlfilter LCNetwerk AWDF P mit C P mit L S S P5 mit L4 P6 mit C4 P3 mit C, L P4 mit C3, L3

76 VHDLModell des BndpßAbweigWellendigitlfilters p: p_dptor_ generic mp(x"8") port mp (x_in,c_out,r,dy,c_in,h); p: p_dptor_ generic mp(x 5E") port mp (h,l_out,r,r,l,h); p3: p_dptor_ generic mp(x"c") port mp (l_out,c_out,p3_in,l,c_in,p3_out); p4: p_dptor_ generic mp(x C") port mp (l3_out,c3_out,p4_in,l3,c3_in,p4_out); p5: p_dptor_ generic mp(x 9") port mp (h4,l4_out,r5,r4,l4,h5); p6: p_dptor_ port mp (h5,c4_out,ero,r5,c4_in,y_out); s: s_dptor generic mp(x"d") port mp (h,p3_out,ero,r,p3_in,h3); s: s_dptor generic mp(x"5")port mp (h3,p4_out,r4,r3,p4_in,h4); process(clk,x) vrible l_s,l_s,l3_s,l4_s : signed(7 downto ); begin if clk'event nd clk'' then x_in < x; c_out < c_in; c_out < c_in; c3_out < c3_in; c4_out < c4_in; l_out < l_in; l_out < l_in; l3_out < l3_in; l4_out < l4_in; y < y_out; end if; l_s : signed(ero)signed(l); l_in < std_logic_vector(l_s); l_s : signed(ero)signed(l); l_in < std_logic_vector(l_s); l3_s : signed(ero)signed(l3); l3_in < std_logic_vector(l3_s); l4_s : signed(ero)signed(l4); l4_in < std_logic_vector(l4_s); end process;

77 B) IIRBndpßfilter ,56,459, ,56,38, ,56,56 H() Übertrgungsfunktion : x(k) y(k) Component

78 VHDLModell des IIRBndpßfilters rchitecture structurl of iir_bndpss is component biqud generic(,,,b,b : signed( downto )); port(input: in std_logic_vector(7 downto ); clk: in std_logic; output: out std_logic_vector(7 downto )); end component; signl x_in, y_out, v, v : std_logic_vector(7 downto ); begin bq: biqud generic mp(x"c",x"",x"df4",x"a74",x"5cb") port mp (x_in,clk,v); bq: biqud generic mp(x"c",x"e9",x"c",x"cd",x"6e") port mp (v,clk,v); bq3: biqud generic mp(x"6",x"e6",x"6",x"bc7",x"386") port mp (v,clk,y_out); process(clk) begin if clk'event nd clk'' then x_in < x; y < y_out; end if; end process; end structurl;

79 C) AllpßDekomposition von Bndpßfiltern TiefpßBndpßTrnsformtion TB T : H TP () p ν r [ A () B ()] H () [ A () B ()] ν e TP jθ TP ; ν,..., n p BP r e ν Θ µ µ µ j BP ; µ,...,n BP Beispiel: n 6 Tiefpß: p Θ p p3 < Θ < Θ 3 Bndpß: Θ p < Θ p < Θ p 3 3 < Θ p * 3 4 < Θ p * 5 < Θ p * 6 A() B() Bei der TPBPTrnsformtion bleibt die PolstellenInterlceKonfigurtion erhlten

80 Tiefpß Bndpß Imginry Prt.. 3 Imginry Prt Rel Prt.5.5 Rel Prt

81 D) BndpßBrückenWellendigitlfilter H(),56,56,56,38, ,56,459,56 [ A() B() ] Polstellen von H():.99.96i.99.96i i i i i Imginry Prt Rel Prt

82 AllpßDekomposition des Bndpßfilters.8.6 Imginry Prt Rel Prt

83 Rel Prt Imginry Prt A() () B AllpßDekomposition des Bndpßfilters

84 BndpßBrückenWellendigitlfilter x(k) Bndsperre y~ (k): Bndpß y(k): A() () B Component

85 VHDLModell des BndpßBrückenWellendigitlfilters rchitecture structurl of bruecken_wdf_bp is component p_dptor generic(g : signed(7 downto )); port(, : in std_logic_vector(7 downto ); b,b : out std_logic_vector(7 downto )); end component; signl x_in,v,v,v3,v4,v5,v6,v7,v8,v9 : std_logic_vector(7 downto ); signl u,u,u3,u4,u5,u6,u7,y,y,y_out : std_logic_vector(7 downto ); begin p: p_dptor generic mp(x"d") port mp(x_in,v,u,u); p: p_dptor generic mp(x"a") port mp(v,v3,v,u3); p3: p_dptor generic mp(x"fa") port mp(u,v4,y,v5); p4: p_dptor generic mp(x"45") port mp(u4,u5,v4,v6); p5: p_dptor generic mp(x"af") port mp(x_in,v9,y,v7); p6: p_dptor generic mp(x"f") port mp(u6,v8,v9,u7); process(clk) vrible y_s : signed(7 downto ); begin if clk'event nd clk'' then x_in < x; v < u; v3 < u3; u4 < v5; u5 < v6; u6 < v7; v8 < u7; y < y_out; end if; y_s : signed(y) signed(y); y_out < std_logic_vector(y_s); end process; end structurl;

86 4Bit Koeffiienten ohne Quntisierung H(f) /db f/h WDFAmplitudengng

87 . H(f) /db...3 8BitKoeffiienten ohne Quntisierung f/h WDFDurchlßbereich

88 . H(f) /db.. 7BitKoeffiienten ohne Quntisierung f/h WDFDurchlßbereich

89 H(f)/dB BitKoeffiienten ohne Quntisierung f/h Amplitudengng IIRFilter 6. Ordnung

90 . H(f)/dB.. BitKoeffiienten ohne Quntisierung f/h Durchlßbereich IIRFilter 6. Ordnung

91 . H(f)/dB BitKoeffiienten ohne Quntisierung f/h Durchlßbereich IIRFilter 6. Ordnung

92 Synthesis Report eines Filters 6. Ordnung mit FPGA xxxc3 Mcro/Fetures AWDF BWDF IIR Mult 8x Add/Sub 8 Bit 44 9 Add/Sub Bit 8BitRegister 8 8 4LUT Slices 9 99 T CLK (ns) 83,8 35,74 46,98 Anhl Koeffiienten Koeff.Quntisierung 8 Bit 8 Bit Bit Stbilität unbedingt bedingt bedingt

93 Synthesis Report eines Filters 6. Ordnung mit FPGA xxxc3 und HrdcoreMultipliktion 85 T CLK (ns) AWDF IIR 45 4 BWDF Slices

94 WDF vs. IIR N. Ordnung mit SoftcoreMultipliktion (Rd3Booth) 7 Slices 6 5 IIR AWDF 4 3 BWDF N

95 Wellendigitlfilter vs. IIRFilter Ein AbweigWellendigitlfilter ist prinipiell stbil Bei einem AbweigWellendigitlfilter treten keine Grenyklen uf Die Synthese von AbweigWellendigitlfilter ist ufwendig, d entsprechende softwregestütte Tools nicht existieren Die Eigenschft der AllpßDekomposition ist invrint gegenüber Frequentrnsformtionen Wellendigitlfilter benötigen weniger Multipliierer Wellendigitlfilter benötigen erheblich mehr Addierer Wellendigitlfilter benötigen eine geringere KoeffiientenWorlänge Mit Rdix3BoothMultipliierern benötigt ein BrückenWellendigitlfilter eine geringere SliceAnhl

96 5. Zusmmenfssung Die SynthesePerformnce wird entscheidend durch die Filterstruktur beeinflußt. Bei den FIRFiltern eigt die trnsponierter Form die beste Performnce. Mit verteilter Arithmetik lssen sich FIRFilter ohne die Verwendung von MultipliktionsOpertionen implementieren. BrückenWellendigitlfilter weisen gegenüber IIRFiltern eine deutlich bessere Performnce uf. Der RdixBoothMultipliierer ist eine Alterntive u dem Hrdcore Multipliierer. In der Rdix3Form benötigt der BoothMultipliierer die geringsten FPGARessorcen.

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015

LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN. 7. Übung/Lösung Mathematik für Studierende der Biologie 25.11.2015 LUDWIG-MAXIMILIANS-UNIVERSITÄT MÜNCHEN FAKULTÄT FÜR BIOLOGIE Prof. Anres Herz, Dr. Stefn Häusler emil: heusler@biologie.uni-muenchen.e Deprtment Biologie II Telefon: 089-280-74800 Großhernerstr. 2 Fx:

Mehr

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre

Vorlesung. Einführung in die mathematische Sprache und naive Mengenlehre Vorlesung Einführung in die mthemtische Sprche und nive Mengenlehre 1 Allgemeines RUD26 Erwin-Schrödinger-Zentrum (ESZ) RUD25 Johnn-von-Neumnn-Hus Fchschft Menge ller Studenten eines Institutes Fchschftsrt

Mehr

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5;

c dl SPiC (Teil C, SS 11) 13 Zeiger und Felder 13.1 Zeiger Einführung 13 1 Zeigervariable := Behälter für Verweise ( Adresse) Beispiel int x = 5; Überblick: Teil C Systemnhe Softwreentwicklung Einordnung: Zeiger (Pointer) Literl: Drstellung eines Wertes 0110 0001 12 Progrmmstruktur und Module Vrible: Bezeichnung chr ; eines Dtenobjekts Behälter

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Mathe Warm-Up, Teil 1 1 2

Mathe Warm-Up, Teil 1 1 2 Mthe Wrm-Up, Teil 1 1 2 HEUTE: 1. Elementre Rechenopertionen: Brüche, Potenzen, Logrithmus, Wurzeln 2. Summen- und Produktzeichen 3. Gleichungen/Ungleichungen 1 orientiert sich n den Kpiteln 3,4,6,8 des

Mehr

Definition Suffixbaum

Definition Suffixbaum Suffix-Bäume Definition Suche nch einer Menge von Mustern Längste gemeinsme Zeichenkette Pltzreduktion Suffixbäume für Muster Alle Pre Suffix-Präfix Übereinstimmung Sich wiederholende Strukturen Definition

Mehr

Großübung zu Kräften, Momenten, Äquivalenz und Gleichgewicht

Großübung zu Kräften, Momenten, Äquivalenz und Gleichgewicht Großübung u Kräften, omenten, Äuivlen und Gleichgewicht Der Körper Ein mterielles Teilgebiet des Universums beeichnet mn ls Körper. Im llgemeinen sind Körper deformierbr. Sonderfll strrer Körper (odellvorstellung)

Mehr

1 Kurvendiskussion /40

1 Kurvendiskussion /40 009 Herbst, (Mthemtik) Aufgbenvorschlg B Kurvendiskussion /0 Gegeben ist eine Funktion f mit der Funktionsgleichung: f ( ) 0 6 = ; mit.. Untersuchen Sie ds Verhlten der Funktionswerte von f im Unendlichen.

Mehr

Thema 13 Integrale, die von einem Parameter abhängen, Integrale von Funktionen auf Teilmengen von R n

Thema 13 Integrale, die von einem Parameter abhängen, Integrale von Funktionen auf Teilmengen von R n Them 13 Integrle, die von einem Prmeter bhängen, Integrle von Funktionen uf Teilmengen von R n Wir erinnern drn, dß eine Funktion h : [, b] R eine Treppenfunktion ist, flls es eine Unterteilung x < x 1

Mehr

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist

1KOhm + - y = x LED leuchtet wenn Schalter x gedrückt ist . Ohm = LED leuchtet wenn chlter gedrückt ist 2. Ohm = NICH ( = NO ) LED leuchtet wenn chlter nicht gedrückt ist = ist die Negtion von? Gibt es so einen kleinen chlter (Mikrotster)? 2. Ohm = UND LED leuchtet

Mehr

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009

UNIVERSITÄT KARLSRUHE Institut für Analysis HDoz. Dr. P. C. Kunstmann Dipl.-Math. M. Uhl. Sommersemester 2009 UNIVERSIÄ KARLSRUHE Institut für Anlysis HDoz. Dr. P. C. Kunstmnn Dipl.-Mth. M. Uhl Sommersemester 9 Höhere Mthemti II für die Fchrichtungen Eletroingenieurwesen, Physi und Geodäsie inlusive Komplexe Anlysis

Mehr

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999

Abitur - Leistungskurs Mathematik. Sachsen-Anhalt 1999 Abitur - Leistungskurs Mthemtik Schsen-Anhlt 999 Gebiet L - Anlysis Augbe.. y, D, R,. Die Funktionenschr sei gegeben durch Die Grphen der Funktionen der Schr werden mit G bezeichnet. ) Ermitteln Sieden

Mehr

Grundlagen der Videotechnik. Redundanz

Grundlagen der Videotechnik. Redundanz Grundlagen der Videotechnik Redundanz Redundanz beruht auf: - statistischen Abhängigkeiten im Signal, - Information, die vorher schon gesendet wurde - generell eine Art Gedächtnis im Signal Beispiel: Ein

Mehr

3 Wiederholung des Bruchrechnens

3 Wiederholung des Bruchrechnens 3 Wiederholung des Bruchrechnens Ein Bruch entsteht, wenn ein Gnzes in mehrere gleiche Teile zerlegt wird. Jeder Bruch besteht us dem Zähler, der Zhl über dem Bruchstrich, und dem Nenner, der Zhl unter

Mehr

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge.

Domäne und Bereich. Relationen zwischen Mengen/auf einer Menge. Anmerkungen zur Terminologie. r Relationen auf/in einer Menge. Reltionen zwischen Mengen/uf einer Menge! Eine Reltion R A B (mit A B) ist eine Reltion zwischen der Menge A und der Menge B, oder uch: von A nch B. Drstellung: c A! Wenn A = B, d.h. R A A, heißt R eine

Mehr

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an!

Musterlösungen (ohne Gewähr) Aufgabe 1 ( 7 Punkte) Geben Sie die Koordinaten des Flächenschwerpunktes des dargestellten Querschnitts an! Seite 1/15 Aufgbe 1 ( 7 Punkte) Geben Sie die Koordinten des lächenschwerpunktes des drgestellten Querschnitts n! 2 Gegeben:. 4 ΣA i = y 2 x Σx i A i = x s = Σy i A i = y s = ΣA i = 8 2 Σx i A i = 13 3

Mehr

4.2 Balkensysteme. Aufgaben

4.2 Balkensysteme. Aufgaben Technische Mechnik 2 4.2-1 Prof. r. Wndinger ufgbe 1: 4.2 lkenssteme ufgben er bgebildete lken ist in den Punkten und gelenkig gelgert. Im Punkt greift die Krft n. Im ereich beträgt die iegesteifigkeit

Mehr

8 Diskrete Optimierung

8 Diskrete Optimierung 8 Diskrete Optimierung Definition 8.1. Ein Graph G ist ein Paar (V (G), E(G)) besteh aus einer lichen Menge V (G) von Knoten (oder Ecken) und einer Menge E(G) ( ) V (G) 2 von Kanten. Die Ordnung n(g) von

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

1 Anregung von Oberflächenwellen (30 Punkte)

1 Anregung von Oberflächenwellen (30 Punkte) 1 Anregung von Oberflächenwellen (30 Punkte) Eine ebene p-polarisierte Welle mit Frequenz ω und Amplitude E 0 trifft aus einem dielektrischen Medium 1 mit Permittivität ε 1 auf eine Grenzfläche, die mit

Mehr

Mathematik schriftlich

Mathematik schriftlich WS KV Chur Abschlussprüfungen 00 für die Berufsmtur kufmännische Richtung Mthemtik schriftlich LÖSUNGEN Kndidtennummer Nme Vornme Dtum der Prüfung Bewertung mögliche erteilte Punkte Punkte. Aufgbe 0. Aufgbe

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Fractional-N-Teilers mit Σ-Modulator

Fractional-N-Teilers mit Σ-Modulator Präsentation der Studienarbeit Verhaltensmodellierung und Simulation eines Fractional-N-Teilers mit Σ-Modulator in einer PLL für Empfänger-Anwendungen Christoph Spiegel chspiegel@gmx.net Universität Duisburg-Essen

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Mathematik PM Rechenarten

Mathematik PM Rechenarten Rechenrten.1 Addition Ds Pluszeichen besgt, dss mn zur Zhl die Zhl b hinzuzählt oder ddiert. Aus diesem Grunde heisst diese Rechenrt uch Addition. + b = c Summnd plus Summnd gleich Summe Kommuttivgesetz

Mehr

04.12.15. 2. Rahmen und Bogen

04.12.15. 2. Rahmen und Bogen Gekrümmte Blken werden ls Bogen bezeichnet. Rhmen sind Trgwerke, die us strr verbundenen gerden Blken oder Bogen zusmmengesetzt sind. Die Schnittlsten können wie bei gerden Blken us Gleichgewichtsbetrchtungen

Mehr

Übungsblatt Gleichungssysteme Klasse 8

Übungsblatt Gleichungssysteme Klasse 8 Üungsltt Gleichungsssteme Klsse 8 Auge : Berechne die Lösungen des Gleichungspres: I II 7 Kontrolliere durch Einseten. Auge : Löse dem Additionsverhren: I 7-6 II 9 Auge : Gegeen ist olgendes linere Gleichungssstem

Mehr

Einführung in die C++ Programmierung für Ingenieure

Einführung in die C++ Programmierung für Ingenieure Einführung in die C++ Programmierung für Ingenieure MATTHIAS WALTER / JENS KLUNKER Universität Rostock, Lehrstuhl für Modellierung und Simulation 14. November 2012 c 2012 UNIVERSITÄT ROSTOCK FACULTY OF

Mehr

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6

Aufgaben zur Vorlesung Analysis II Prof. Dr. Holger Dette SS 2012 Lösungen zu Blatt 6 Aufgben zur Vorlesung Anlysis II Prof. Dr. Holger Dette SS 0 Lösungen zu Bltt 6 Aufgbe. Die Funktion f : [, ) R sei in jedem endlichen Teilintervll von [, ) Riemnnintegrierbr. Für n N sei I n := f() d.

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme Eines der am häufigsten auftretenden Standardprobleme der angewandten Mathematik ist das Lösen linearer Gleichungssysteme, etwa zur Netzwerkberechnung in der Elektrotechnik oder

Mehr

Lösung: a) 1093 1100 b) 1093 1090

Lösung: a) 1093 1100 b) 1093 1090 OvTG Guting, Grundwissen Mthemtik 5. Klsse 1. Ntürliche Zhlen Dezimlsystem Mn nennt die Zhlen, die mn zum Zählen verwendet, 10963 = 1 10000+ 0 1000+ 9 100+ 6 10 + 3 1 ntürliche Zhlen. Der Stellenwert der

Mehr

Funktionen und Mächtigkeiten

Funktionen und Mächtigkeiten Vorlesung Funktionen und Mähtigkeiten. Etws Mengenlehre In der Folge reiten wir intuitiv mit Mengen. Eine Menge ist eine Zusmmenfssung von Elementen. Zum Beispiel ist A = {,,,,5} eine endlihe Menge mit

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt:

Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* aller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 8. Grundlgen der Informtionstheorie 8.1 Informtionsgehlt, Entropie, Redundnz Def.: Sei Σ eine Menge von Zeichen. Die Menge Σ* ller Zeichenketten (Wörter) über Σ ist die kleinste Menge, für die gilt: 1.

Mehr

Grundwissen am Ende der Jahrgangsstufe 9. Wahlpflichtfächergruppe II / III

Grundwissen am Ende der Jahrgangsstufe 9. Wahlpflichtfächergruppe II / III Grundwissen m Ende der Jhrgngsstufe 9 Whlpflichtfächergruppe II / III Funktionsbegriff Gerdengleichungen ufstellen und zu gegebenen Gleichungen die Grphen der Gerden zeichnen Ssteme linerer Gleichungen

Mehr

8. Abtastung. Kontinuierliches Signal: Signalspektrum: Abgetastetes Signal: ( t) Abtastfunktion: 1 f a. Spektrum der Abtastfunktion:

8. Abtastung. Kontinuierliches Signal: Signalspektrum: Abgetastetes Signal: ( t) Abtastfunktion: 1 f a. Spektrum der Abtastfunktion: Pro. Dr.-In. W.-P. Buchwld Sinl- und Sysemheorie 8. Absun Koninuierliches Sinl: u() Sinlspekrum: U() Abesees Sinl: ( ) = u( ) ( ) u Absunkion: + n= ( ) = δ ( n ) Spekrum der Absunkion: + n= Spekrum des

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Entwurf und Realisierung analoger und digitaler Filter

Entwurf und Realisierung analoger und digitaler Filter Signl- und Messwert- Verrbeitung Dr. K. Schefer Entwurf und Relisierung nloger und digitler Filter Im Rhmen dieses Versuchs wollen wir uns mit der Dimensionierung von nlogen und digitlen Filtern und mit

Mehr

Auszug aus den Tabellen und Formeln der DIN EN ISO 6946

Auszug aus den Tabellen und Formeln der DIN EN ISO 6946 Institut ür Bupysik und Mterilwissensct Univ.-Pro. Dr. Mx J. Seite von 9 nc Kosler, W.: Mnuskript zur E DIN 408-3:998-0, NA Buwesen (NABu) im DIN - Deutsces Institut ür Normung vom 28.0.998 Hinweise: DIN

Mehr

( ) als den Punkt mit der gleichen x-koordinate wie A und der

( ) als den Punkt mit der gleichen x-koordinate wie A und der ETH-Aufnahmeprüfung Herbst 05 Mathematik I (Analysis) Aufgabe [6 Punkte] Bestimmen Sie den Schnittwinkel α zwischen den Graphen der Funktionen f(x) x 4x + x + 5 und g(x) x x + 5 im Schnittpunkt mit der

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Streuungsmaße. Grundbegriffe

Streuungsmaße. Grundbegriffe Grundbegriffe Untersuchungseinheiten U,...,U n Merkml X Urliste x,...,x n geordnete Urliste x (),...,x (n) Es gilt i.llg.: xi x() i, i, Κ, n In einer westdeutschen Großstdt gibt es insgesmt drei Träger

Mehr

Wirtschaftsmathematik für International Management (BA) und Betriebswirtschaft (BA)

Wirtschaftsmathematik für International Management (BA) und Betriebswirtschaft (BA) Wirtschftsmthemtik für Interntionl Mngement (BA) und Betriebswirtschft (BA) Wintersemester 2013/14 Stefn Etschberger Hochschule Augsburg Mthemtik: Gliederung 1 Aussgenlogik 2 Linere Algebr 3 Linere

Mehr

Änderungen im Vertrags-Manager

Änderungen im Vertrags-Manager Änderungen im Vertrags-Manager 2009-01-27 Version 1.0.0.13... 2 2007-08-09 Version 1.0.0.12... 3 2007-07-25 Version 1.0.0.11... 4 2006-11-07 Version 1.0.0.10... 5 2006-09-27 Version 1.0.0.9... 7 2006-06-28

Mehr

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3

Lehrgang: Digitaltechnik 1 ( Grundlagen ) - Im Lehrgang verwendete Gatter ( Übersicht ) Seite 3 Lehrgng: Digitltechnik ( Grundlgen ) Dtum: Nme: Seite: Inhltsverzeichnis: Im Lehrgng verwendete Gtter ( Üersicht ) Seite 3 Aufu von Zhlensystemen deziml, dul ( Infoseite ) Seite 4 ( Areitsltt ) Seite 5

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Matrizennorm. Definition 1. Sei A M r,s (R). Dann heißt A := sup die Matrixnorm. Wir wissen zunächst nicht, ob A eine reelle Zahl ist.

Matrizennorm. Definition 1. Sei A M r,s (R). Dann heißt A := sup die Matrixnorm. Wir wissen zunächst nicht, ob A eine reelle Zahl ist. Matrizennorm Es seien r,s N Mit M r,s (R bezeichnen wir die Menge der reellen r s- Matrizen (also der linearen Abbildungen R s R r, und setze M s (R := M s,s (R (also die Menge der linearen Abbildungen

Mehr

Effiziente Algorithmen und Datenstrukturen I. Kapitel 10: Lineare Algebra

Effiziente Algorithmen und Datenstrukturen I. Kapitel 10: Lineare Algebra Effiziente Algorithmen und Datenstrukturen I Kapitel 10: Lineare Algebra Christian Scheideler WS 2008 19.02.2009 Kapitel 10 1 Überblick Notation Arithmetik auf großen Zahlen (Addition und Multiplikation)

Mehr

Lösungen zu Kapitel 7

Lösungen zu Kapitel 7 Lösungen zu Kapitel 7 Lösung zu Aufgabe 1: Nach Definition 7.1 ist eine Verknüpfung auf der Menge H durch eine Abbildung : H H H definiert. Gilt H = {a 1,..., a m }, so wird eine Verknüpfung auch vollständig

Mehr

Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen

Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen Grundlagen der höheren Mathematik Einige Hinweise zum Lösen von Gleichungen 1. Quadratische Gleichungen Quadratische Gleichungen lassen sich immer auf die sog. normierte Form x 2 + px + = 0 bringen, in

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

Kontrollstrukturen und Funktionen in C

Kontrollstrukturen und Funktionen in C Kontrollstrukturen und Funktionen in C Lernziele: Vertiefen der Kenntnisse über Operatoren, Kontrollstrukturen und die Verwendung von Funktionen. Aufgabe 1: Quickies: Datentypen in C a) Was sind die elementaren

Mehr

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1.

Die Regelungen zu den Einsendeaufgaben (Einsendeschluss, Klausurzulassung) finden Sie in den Studien- und Prüfungsinformationen Heft Nr. 1. Modul : Grundlgen der Wirtschftsmthemtik und Sttistik Kurs 46, Einheit, Einsendeufge Die Regelungen zu den Einsendeufgen (Einsendeschluss, Klusurzulssung) finden Sie in den Studien- und Prüfungsinformtionen

Mehr

Übungsaufgaben. - Vorgehensweise entsprechend dem Algorithmus der schriftlichen Multiplikation

Übungsaufgaben. - Vorgehensweise entsprechend dem Algorithmus der schriftlichen Multiplikation Übungsaufgaben Anmerkung Allen Beispielen soll noch hinzugefügt sein, dass wertvolle Hinweise, also die Tipps und Tricks die der schnellen maschinellen Multiplikation zu Grunde liegen, neben dem toff zur

Mehr

Programmieren in C/C++ und Matlab

Programmieren in C/C++ und Matlab Progrmmieren in C/C und Mtl Sine Schmidt & Sestin Buer Institut für Geowissenschften Christin-Alrechts-Universität zu Kiel Progrmmieren in C/C und Mtl CAU, SS 08 for- / while-schleifen: - numerische Integrlerechnung

Mehr

onlinecomponents.com

onlinecomponents.com POWER COMBICON-Steckerteile mit Schirmuflge im Rster 7,62 und 10,16 mm Der Stecker mit Schirmuflge integriet die Eigenschften von POWER COMBICON für Anschlussquerschnitte von 4 mm 2 bis 6 mm 2 und die

Mehr

Taylorreihen - Uneigentlische Integrale

Taylorreihen - Uneigentlische Integrale Anlysis II für M, LG und Ph, WS 2006/07, Übung 2, Lösungsskizze Gruppenübung Tylorreihen - Uneigentlische Integrle G 5 Berechnen Sie die Tylorreihe mit der Entwicklungsmitte 0 von f (x) = log(x + ), f

Mehr

Automatisches Parallelisieren

Automatisches Parallelisieren Automatisches Parallelisieren Vorlesung im Wintersemester 2010/11 Eberhard Zehendner FSU Jena Thema: Datenabhängigkeitsanalyse Eberhard Zehendner (FSU Jena) Automatisches Parallelisieren Datenabhängigkeitsanalyse

Mehr

Java-Programmierung mit NetBeans

Java-Programmierung mit NetBeans Java-Programmierung mit NetBeans Steuerstrukturen Dr. Henry Herper Otto-von-Guericke-Universität Magdeburg - WS 2012/13 Steuerstrukturen Steuerstrukturen Verzweigungen Alternativen abweisende nichtabweisende

Mehr

Kapitel MK:IV. IV. Modellieren mit Constraints

Kapitel MK:IV. IV. Modellieren mit Constraints Kapitel MK:IV IV. Modellieren mit Constraints Einführung und frühe Systeme Konsistenz I Binarization Generate-and-Test Backtracking-basierte Verfahren Konsistenz II Konsistenzanalyse Weitere Analyseverfahren

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

Dokumentation zum Projekt Multimediale Lehre Fluidmechanik an der Technischen Universität Graz

Dokumentation zum Projekt Multimediale Lehre Fluidmechanik an der Technischen Universität Graz Dokumentation zum Projekt Multimediale Lehre Fluidmechanik an der Technischen Universität Graz Andreas Aigner email: andreasa@sbox.tu-graz.ac.at. Januar 00 Inhaltsverzeichnis Theorie. Stromfunktion...........................

Mehr

Mathematik. Name, Vorname:

Mathematik. Name, Vorname: Kntonsschule Zürich Birch Fchmittelschule Aufnhmeprüfung 2007 Nme, Vornme: Nr.: Zeit: 90 Minuten erlubte Hilfsmittel: Tschenrechner us der Sekundrschule, lso weder progrmmierbr noch grfik- oder lgebrfähig

Mehr

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO)

Teilfachprüfung Mathematik Studiengang: Wirtschaft Neue Diplomprüfungsordnung (NPO) Fchhochschule Düsseldorf SS 2007 Teilfchprüfung Mthemtik Studiengng: Wirtschft Neue Diplomprüfungsordnung (NPO) Prüfungsdtum: 29..2007 Prüfer: Prof. Dr. Horst Peters / Dipl. Volkswirt Lothr Schmeink Prüfungsform:

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

A1 Web Presence Service

A1 Web Presence Service 1 Im Bestellen Sie Ihr Service nächsten Schritt muss dem Web Presence Service eine zugewiesen werden. Sie können entweder eine neue registrieren, Ihre bestehende zu uns trnsferieren oder mit Ihrer extern

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Formelsammlung. Folgen und Reihen

Formelsammlung. Folgen und Reihen Lehrstuhl für BWL, insb. Mthemtik und Sttistik Dipl.-Mth. Mrie Hielscher Mthemtik für Betriebswirte II Formelsmmlung Folgen und Reihen en Folge n ) n N0 : D R, n n := n) mit D N 0 n-te Prtilsumme von n

Mehr

1. Voraussetzung. 2. Web-Account anlegen. 3. Einloggen. 4. Kunden-Portal verwenden 5. Sub-Accounts 5.1Sub-Account anlegen. 5.2 Sub-Account bearbeiten

1. Voraussetzung. 2. Web-Account anlegen. 3. Einloggen. 4. Kunden-Portal verwenden 5. Sub-Accounts 5.1Sub-Account anlegen. 5.2 Sub-Account bearbeiten Anleitung DER WEG ZUM TOLL COLLECT KUNDEN-PORTAL Inhlt 1. Vorussetzung 2. Web-Account nlegen 3. Einloggen 4. Kunden-Portl verwenden 5. Sub-Accounts 5.1Sub-Account nlegen 5.2 Sub-Account berbeiten 5.3 Sub-Account

Mehr

Exportmodul Artikel-Nr.: 20208

Exportmodul Artikel-Nr.: 20208 Seite 1 / 5 V5.32 Exportmodul Artikel-Nr.: 20208 Erweiterungsmodul für ds ELV-TimeMster Komplettsystem Hndbuch und Beschreibungen Ab der Version 5 befinden sich die Kurznleitung und ds gesmte Hndbuch ls

Mehr

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1

Digitaltechnik. 3 Sequenzielle. Schaltungen. Revision 1.1 igitltechnik 3 Sequenzielle Schltungen A Revision 1.1 Trnsitionssysteme Synchroner sequenzieller Entwurf Timing-Anlyse Pipelining Mely und Moore Mschinen Zustndsmschinen in Verilog Sequentielle Schltungen

Mehr

SOI 2013. Die Schweizer Informatikolympiade

SOI 2013. Die Schweizer Informatikolympiade SOI Die Schweizer Informatikolympiade Lösung SOI Wie schreibe ich eine gute Lösung? Bevor wir die Aufgaben präsentieren, möchten wir dir einige Tipps geben, wie eine gute Lösung für die theoretischen

Mehr

Bitte wenden. Name: KURSARBEIT NR. 4 (10 DIFF GA) 18.06.2002. Seite 1

Bitte wenden. Name: KURSARBEIT NR. 4 (10 DIFF GA) 18.06.2002. Seite 1 Aufgabe 1: Gegeben ist das folgende Programm: PR figur :n :Länge WH 3 [ VW :Länge WENN :n>1 DANN ( RE 90 figur :n-1 :Länge/2 RW :Länge Seite 1 Zeichne das Bild, welches beim Aufruf der Prozedur mit figur

Mehr

Hausaufgabe 2 (Induktionsbeweis):

Hausaufgabe 2 (Induktionsbeweis): Prof. Dr. J. Giesl Formle Sprhen, Automten, Prozesse SS 2010 Üung 3 (Age is 12.05.2010) M. Brokshmidt, F. Emmes, C. Fuhs, C. Otto, T. Ströder Hinweise: Die Husufgen sollen in Gruppen von je 2 Studierenden

Mehr

Die reellen Lösungen der kubischen Gleichung

Die reellen Lösungen der kubischen Gleichung Die reellen Lösungen der kubischen Gleichung Klaus-R. Löffler Inhaltsverzeichnis 1 Einfach zu behandelnde Sonderfälle 1 2 Die ganzrationale Funktion dritten Grades 2 2.1 Reduktion...........................................

Mehr

13.5 Der zentrale Grenzwertsatz

13.5 Der zentrale Grenzwertsatz 13.5 Der zentrale Grenzwertsatz Satz 56 (Der Zentrale Grenzwertsatz Es seien X 1,...,X n (n N unabhängige, identisch verteilte zufällige Variablen mit µ := EX i ; σ 2 := VarX i. Wir definieren für alle

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Signale und Systeme. A1 A2 A3 Summe

Signale und Systeme. A1 A2 A3 Summe Signale und Systeme - Prof. Dr.-Ing. Thomas Sikora - Name:............................... Vorname:.......................... Matr.Nr:.............................. Ergebnis im Web mit verkürzter Matr.Nr?

Mehr

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30

Canon Nikon Sony. Deutschland 55 45 25. Österreich 40 35 35. Schweiz 30 30 20. Resteuropa 60 40 30 55 45 25 40 35 35 J 30 30 20 60 40 30 15 Mtrizenrechnung 15 Mtrizenrechnung 15.1 Mtrix ls Zhlenschem Eine Internetfirm verkuft über einen eigenen Shop Digitlkmers. Es wird jeweils nur ds Topmodel der Firmen Cnon, Nikon und Sony ngeboten. Verkuft

Mehr

Grundwissen Mathematik 7I

Grundwissen Mathematik 7I Winkel m Kreis Grundwissen themtik 7I Rndwinkelstz Der Winkel heißt ittelpunktswinkel über der Sehne []. Die Winkel n sind die Rndwinkel über der Sehne []. lle Rndwinkel über einer Sehne eines Kreises

Mehr

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt

Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt Anlegen eines Speicherbereichs mit DB, DW eleganter in Kombination mit EQU, Timer-Interrupt AMPEL-Steuerung(en) Die Beschreibung und Programmierung der Ampel (vor allem Ampel_5) können sehr kompliziert

Mehr

9. Asymmetrische Information

9. Asymmetrische Information 85 Definition Asymmetrische Information: Eine Marktseite (Käufer oder Verkäufer) weißmehr als die andere (Käufer oder Verkäufer). Betrifft 1) Qualität/Zustand eines Gutes oder 2) Handlungen, die nur eine

Mehr

Public-Key-Algorithmen WS2015/2016

Public-Key-Algorithmen WS2015/2016 Public-Key-Algorithmen WS2015/2016 Lernkontrollfragen Michael Braun Was bedeuten die kryptographischen Schutzziele Vertraulichkeit, Integrität, Nachrichtenauthentizität, Teilnehmerauthentizität, Verbindlichkeit?

Mehr

Technische Informatik 2

Technische Informatik 2 TiEl-F Sommersemester 24 Technische Informtik 2 (Vorlesungsnummer 2625) 23--- TiEl-F Prof. Dr.-Ing. Jürgen Doneit Zimmer E29 Tel.:73 54 455 doneit@fh-heilronn.de 23--- TiEl-F35 Digitltechnik 23--3- . Digitlschltungen,

Mehr

Keil Telecom Homepage - Hersteller von Isdn Tk Anlagen und Türsprechsystemen für Heim und Bü...

Keil Telecom Homepage - Hersteller von Isdn Tk Anlagen und Türsprechsystemen für Heim und Bü... Keil Telecom Homepge - Hersteller von Isdn Tk Anlgen und Türsprechsystemen für Heim und Bü... Seite 1 von 1 Einutürlutsprecher esonders kleine und kompkte Buform Einu üerll dort wo Pltz knpp ist Briefkästen,

Mehr

Algorithmentheorie. 13 - Maximale Flüsse

Algorithmentheorie. 13 - Maximale Flüsse Algorithmentheorie 3 - Maximale Flüsse Prof. Dr. S. Albers Prof. Dr. Th. Ottmann . Maximale Flüsse in Netzwerken 5 3 4 7 s 0 5 9 5 9 4 3 4 5 0 3 5 5 t 8 8 Netzwerke und Flüsse N = (V,E,c) gerichtetes Netzwerk

Mehr

Übungsblatt 1 zum Propädeutikum

Übungsblatt 1 zum Propädeutikum Üungsltt zum Propädeutium. Gegeen seien die Mengen A = {,,,}, B = {,,} und C = {,,,}. Bilden Sie die Mengen A B, A C, (A B) C, (A C) B und geen Sie diese in ufzählender Form n.. Geen Sie lle Teilmengen

Mehr

Binäre Gleitkommazahlen

Binäre Gleitkommazahlen Binäre Gleitkommazahlen Was ist die wissenschaftliche, normalisierte Darstellung der binären Gleitkommazahl zur dezimalen Gleitkommazahl 0,625? Grundlagen der Rechnerarchitektur Logik und Arithmetik 72

Mehr

Einführung in die Programmierung

Einführung in die Programmierung Technische Universität München WS 2003/2004 Institut für Informatik Prof. Dr. Christoph Zenger Testklausur Einführung in die Programmierung Probeklausur Java (Lösungsvorschlag) 1 Die Klasse ArrayList In

Mehr

Taylorentwicklung der k ten Dimension

Taylorentwicklung der k ten Dimension Taylorentwicklung der k ten Dimension 1.) Taylorentwicklung... 2 1.1.) Vorgehenesweise... 2 1.2.) Beispiel: f ((x, y)) = e x2 +y 2 8x 2 4y 4... 3 2.) Realisierung des Algorithmus im CAS Sage Math... 5

Mehr

Beispiel-Abiturprüfung

Beispiel-Abiturprüfung Mthemtik BeispielAbiturprüfung Prüfungsteile A und B Bewertungsschlüssel und Lösungshinweise (nicht für den Prüfling bestimmt) Die Bewertung der erbrchten Prüfungsleistungen ht sich für jede Aufgbe nch

Mehr

Hauptprüfung Abiturprüfung 2015 (ohne CAS) Baden-Württemberg

Hauptprüfung Abiturprüfung 2015 (ohne CAS) Baden-Württemberg Hauptprüfung Abiturprüfung 205 (ohne CAS) Baden-Württemberg Wahlteil Analysis Hilfsmittel: GTR und Formelsammlung allgemeinbildende Gymnasien Alexander Schwarz www.mathe-aufgaben.com März 205 Aufgabe A

Mehr

Gitterherstellung und Polarisation

Gitterherstellung und Polarisation Versuch 1: Gitterherstellung und Polarisation Bei diesem Versuch wollen wir untersuchen wie man durch Überlagerung von zwei ebenen Wellen Gttterstrukturen erzeugen kann. Im zweiten Teil wird die Sichtbarkeit

Mehr

Expander Graphen und Ihre Anwendungen

Expander Graphen und Ihre Anwendungen Expander Graphen und Ihre Anwendungen Alireza Sarveniazi Mathematisches Institut Universität Göttingen 21.04.2006 Alireza Sarveniazi (Universität Göttingen) Expander Graphen und Ihre Anwendungen 21.04.2006

Mehr

4. Versicherungsangebot

4. Versicherungsangebot 4. Versicherungsangebot Georg Nöldeke Wirtschaftswissenschaftliche Fakultät, Universität Basel Versicherungsökonomie (FS 11) Versicherungsangebot 1 / 13 1. Einleitung 1.1 Hintergrund In einem grossen Teil

Mehr

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003

MSXFORUM - Exchange Server 2003 > SMTP Konfiguration von Exchange 2003 Page 1 of 8 SMTP Konfiguration von Exchange 2003 Kategorie : Exchange Server 2003 Veröffentlicht von webmaster am 25.02.2005 SMTP steht für Simple Mail Transport Protocol, welches ein Protokoll ist, womit

Mehr