Elektronik Klausurvorbereitung (bei Prof. Vdb) Klausur SS 2001

Größe: px
Ab Seite anzeigen:

Download "Elektronik Klausurvorbereitung (bei Prof. Vdb) Klausur SS 2001"

Transkript

1 Klausur SS 2001 Beschreiben Sie die Eigenschaften und den Einsatzbereich des GRAY-Codes im Vergleich zum Binär-Code. - GRAY Code: o Einschrittiger Code o Beim Übergang von einer auf die nächste Stelle ändert sich max. 1 bit o Verhindert Fehlinterpretationen - Vgl. mit binary code o sicherer, da einschrittig o langsamer, da mehr Informationen Wie äußert sich die Temperaturabhängigkeit eines TTL Bausteins und welchen Einfluss hat dieses Verhalten auf das normale Betriebsverhalten? Bitte Begründung! - Kennlinie Ua und Ue ändern sich kein Einfluss auf das Betriebs- / Schaltverhalten (Zone groß genug) H und L ab einer bestimmten Schwelle garantiert Charakterisieren Sie die 3,3V Logik und nennen Sie deren Vorteile. - geringerer Energieverbrauch - kleinere Chip-Geometrie möglich - voll kompatibel zur 5V-TTL - (etwas langsamer) Erläutern Sie die Vorgehensweise zur Berechnung der Schaltung von Synchronzählern. - Aufstellen der Wahrheitstabelle - Aufstellen und Vereinfachen der Anwendungsgleichung (KV) - Bestimmen der charakteristischen Gleichung der zu verwendeten FF - Bestimmen der Verknüpfungsgleichung durch Koeffizientengleichung - Zeichnen des Schaltbildes nach der Verknüpfungsgleichung Geben Sie an, welche Fehler bei der AD Wandlung auftreten können und erläutern Sie, ob diese Fehler korrigierbar sind. - Quantisierungsfehler (0,5 ULSB) nicht korrigierbar - Nullpunktfehler: Z 0 bei Ue = 0 extern korrigierbar - Verstärkungsfehler: Z Zmax bei Ue = Uemax extern korrigierbar Seite 1

2 Klausur WS 2000 / 2001 Beschreiben Sie die Eigenschaften und das Einsatzgebiet eines Schmitt-Triggers. - Schmitt-Trigger wird zur Übertragung über lange Leitungen eingesetzt - Kein Verstärkungsverhalten, sondern Schaltverhalten - Signalverbesserung - Signalformung - Impulsformer Wozu werden Gatter mit Open-Collector-Ausgang verwendet? - Einsatzgebiet: Pegel-Wandler - Auch durch ODER-Verknüpfungen zu realisieren - Nachteil: Signalverzögerung Charakterisieren Sie die CMOS Schaltkreisfamilie. - extrem geringe statische Verlustleistung - höherer Bereich von UB 3V...15V - Ausgangspegel extrem nah an der Betriebsspannung (sehr hoher Fan-Out) - Relative Unempfindlichkeit gegenüber Temperaturschwankungen - Geringer Stromverbrauch - Bedingt TTL-kompatibel - Problem: Leich zerstörbar durch elektrostatische Entladung Sehr empfindlich ESD-Schutz verwenden Wozu werden Microcontroller eingesetzt und worin unterscheiden sie sich von Standard-Mikroprozessoren? - Microcontroller werden v.a. für Steuerungsaufgaben und Kontrollaufgaben verwendet, wogegen Mikroprozessoren für Aufgaben mit größerer Rechenleistung verwendet werden - Bei einem Mikrocontroller sind möglichst viele Zusatzbausteine (Speicher, Taktgenerator,...) im Chip integriert Ein Messsignal im Amplitudenbereich von 0V bis +5V soll mit einer Auflösung von 1mV digitalisiert werden. Welche Auflösung in Bit benötigt der Analog-Digital- Wandler? - werden A = 5V / 1mV = bit (bis 8192 möglich) - vgl.: 2 n = = 4096 zu gering!! Seite 2

3 Klausur SS 2000 Beschreiben Sie im wesentlichen die Eigenschaften und Einsatzgebiete eines Gatters mit Tri-State Ausgang. - Ausgang kann neben H und L auch hochohmigen Zustand einnehmen, - Einsatzgebiet: Verwendung in Bussystemen mehrere Sender einer Leitung nur einer ist aktiv - Hochohmig schalten - Enable-Eingang Beschreiben Sie die Vorgehensweise beim Entwurf einer logischen Verknüpfungsschaltung. - Beschreiben der Funktion der Schaltung - Eingangsvariable und Ausgangsvariablen festlegen - Wahrheitstabelle aufstellen - Ermitteln der Funktionsgleichung (mittels ODER-Normalform) - Vereinfachung der ODER-Normalform (KV-Diagramm und Rechenregeln) - Aufbau der Programmierung / Schaltung Charakterisieren Sie die CMOS Schaltkreisfamilie. - extrem geringe statische Verlustleistung - höherer Bereich von UB 3V...15V - Ausgangspegel extrem nah an der Betriebsspannung (sehr hoher Fan-Out) - TTL-kompatibel - Stromfluss nur bei Schalten - Relative Unempfindlichkeit gegenüber Temperaturschwankungen o Problem: Leich zerstörbar durch elektrostatische Entladung Sehr empfindlich ESD-Schutz verwenden Beschreiben Sie ein Verfahren zur Serien-Parallel und zur Parallel-Serien-Wandlung von digitalen Signalen. Nennen Sie eine typische Anwendung. - bei der Serien-Parallel-Umsetzund wird eine Serie von Informationen Stelle für Stelle in das Register aufgenommen und liegt nach erfolgter Übernahme parallel zur Weiterverarbeitung vor - die Parallel-Serien-Wandlung dient dazu, die parallel vorliegenden Informationen Stelle für Stelle einem Übertragungskanal aufzuschalten - Anwendung: Schnittstellen Bausteine Serielle Schnittstelle Schieberegister Ein Messsignal im Amplitudenbereich von 5V bis + 5V soll mit einer Auflösung von 0,2mV digitalisiert werden. Welche Auflösung in Bit benötigt der Analog-Digital- Wandler? - A = 10V / 0,2mV = Bit (max. Auflösung: 65536) Geben Sie an, welche Fehler bei der Digital-Analog-Wandlung auftreten können und erläutern Sie, ob und warum diese Fehler korrigierbar sind. - Nichtlinearität nicht korrigierbar - Nullpunktfehler: UA 0 bei Z = 0 extern korrigierbar - Verstärkungsfehler: UA 0 bei Uamax bei Z = Zmax extern korrigierbar - Warum korrigierbar? Seite 3

4 Klausur WS 1999 / 2000 Welche Digitalbausteine würden Sie zum Aufbau eines Bussystems verwenden und warum? - Tristate Ausgänge???? o Pegelzustände: High LOW High Impedance (Ausgänge vom Rest der Schaltung abgestimmt ) o Steuerung aktiv / high impedance über Enable-Eingang (EN) o Hochohmig schalten, sonst Kurzschluss o Um Datenkollisionen zu vermeiden, darf nur 1 Sender aktiv sein; die anderen müssen sich im High-Impedance-Zustand befinden (ideal für Bus-Systeme) Wie wird bei einem maskenprogrammierten ROM die Information gespeichert und was sind die Vorteile und die Nachteile dieser Bausteine? - Speicherung in der Struktur durch entsprechende Ausbildung der Belichtungsmasken - Vorteil: billig - Nachteil: nur in gr. Stückzahl günstig; Einmalkosten; nicht änderbar Was ist die physikalische Ursache für das Auftreten der Verzögerungszeiten bei einem TTL-Gatter? - Sperrschichtkapazität - Ladungsspeicherung in den Schalttransistoren Nennen Sie Maßnahmen zur Verringerung der Verzögerungszeit bei Digitalbausteinen und begründen Sie diese. - je geringer die Widerstände in der Schaltung desto schneller die Schaltzeit (Begründung: Die Schaltzeit ist bedingt durch die Bewegung von Ladungsträgern aus/in Raumladungszone der Basis-kollektor-Diode) - Schottky-Dioden Vier sinusförmige Signale mit den Frequenzen 100Hz, 150Hz, 300Hz und 350Hz werden addiert. Mit welcher Frequenz muss das Summensignal abgetastet werden, um eine fehlerfreie Rekonstruktion zu ermöglichen? 1 = 2 f g = 2 350Hz = 700Hz T Warum sollten Sprachsignale normalerweise mit sehr hoher Auflösung (18 24 Bit= digitalisiert werden? - Großer Dynamikbereich muss fein aufgelöst werden - Leise Stellen benötigen auch hohe Tielauflösungen Seite 4

5 Klausur SS 1999 Beschreiben Sie im wesentlichen die Eigenschaften und Einsatzgebiete eines Gatters mit Tri-State Ausgang. - Ausgang kann neben H und L auch hochohmigen Zustand einnehmen, - Enable-Eingang - Einsatzgebiet: Verwendung in Bussystemen mehrere Sender einer Leitung nur einer ist aktiv - Hochohmiger Zustand klemmt die einzelnen Teilnehmer ab Beschreiben Sie Wirkungsweise und Einsatzgebiet eines Schmitt-Triggers. - der Schmitt-Trigger wird bei der Übertragung langer Leitungen eingesetzt - kein Verstärkungsverhalten, sondern Schaltverhalten - Schwellwertschalter - Signalverbesserung - Signalumformung - Impulsformer Nennen Sie die Unterschiede zwischen einem statischen RAM und einem dynamischen RAM. - Statischer RAM o bewahren Speicherinhalt bei angelegter Versorgungsspannung ohne weitere Maßnahmen auf o Speichermethode: FlipFlop - Dynamischer RAM o Muss periodisch aufgefrischt werden (refresh) alle 2 8 ms Speicherinhalt geht sonst verloren o Vierfache Kapazität o Speichermethode Kondensator + MOSFET Seite 5

6 Klausur SS 1996 Beschreiben Sie Aufbau und Wirkungsweise eines JK-FF, das aus zwei einzelnen Flip-Flops aufgebaut wird. - Übernahme mit fallender Flanke -???? Ein Signal soll innerhalb eines Spannungsbereiches +/- 10V von einem AD-Wandler verarbeitet werden. Schwankungen des Signals von 2mV sollen sicher als Änderung des niederwertigsten Bits erfasst werden. Welche Wortbreite (in Bit) benötigt der ADW? Begründung! - A = 20V / 0,2mV = bit - Begründung??? Warum gibt es Analog-Digital-Wandler, die nach dem Parallelprinzip arbeiten, nur in Auflösungen bis etwa 12 Bit? - wegen hohem technischen Aufwand - 2 n Komparatoren + D-FF + Decoder notwendig - technologischer Aufwand zu groß Beschreiben Sie den prinzipiellen Aufbau und die Wirkungsweise eines Programmable Logic Array (PLA). - lässt die Programmierung sowohl UND- als auch der ODER-Matrizen zu - sind flexibler als EPROM und PAL - die Laufzeit beider Matrizen macht sie jedoch langsamer Welche Klasse von Signalen werden durch ein Linienspektrum charakterisiert? - Dirac-Stoß: jede periodische Funktion Fourrier-Reihe Seite 6

7 Klausur WS 1997 / 1998 Wie ist die mittlere Signallaufzeit t P eines TTL-Gatters definiert? Bitte Skizze! t P - LH + t P t HL P = 2 - Skizze siehe Skript Skizzieren Sie die Übertragungskennlinie eines Schmitt-Triggers und geben Sie typische Einsatzmöglichkeiten an. - bei Übertragung über lange Leitungen - Skizze siehe Skript Warum gibt es Analog-Digital-Wandler, die nach dem Paralllelprinzip arbeiten, nur in Auflösungen bis etwa 12 Bit? - wegen hohem technischen Aufwand - 2 n Komparatoren + D-FF + Decoder notwendig - technologischer Aufwand zu groß Beschreiben Sie ein Master-Slave-FF. Wahrheitstabelle? - Der Master-Slave-FF ist eine Kombination ais zwei in Kaskade geschalteten FF, wobei das erste als Master und das zweite als Slave arbeitet - Wahrheitstab.?? Charakterisieren Sie das Einschwingverhalten eines Abtast-Halte-Bausteins. - kommt noch in der Vorlesung!? Seite 7

8 Klausur WS 1996 / 1997 Zeichnen Sie die Reaktion am Ausgang Q der folgenden Schaltung auf einen Eingangsimpuls (E) der Breite t = 10ms E 1 1 & Q 1 5ms Warum sind in der obigen Schaltung zwei Verzögerungsglieder (Inverter; t=10ns) eingebaut? Welche typischen Störungen können in Digitalschaltungen auftreten und welche Maßnahmen zu deren Beseitigung kennen Sie? - externe Störungen o elektromagnetische und elektrostatische Felder o Rauschen - interne Störungen o Übersprechen o Gedämpfte Schwingungen o Schaltstörungen o Leitungsreflexion o Grundrauschen - Maßnahmen o Tiefpass einbauen o Abschirmen o Entstören Seite 8

9 Charakterisieren Sie die Schaltkreisfamilien TTL, CMOS und ECL (Aufbau, elektrische Eigenschaften, Schaltverhalten). Geben Sie an, welche Schaltkreisfamilien unter welchen Bedingungen zueinander kompatibel sind. - TTL: o Schaltgeschwindigkeit: tp = 10ms o????? - CMOS: o Kein Querstrom bei Z = 0 oder Z = 1 o Extreme geringe statische Verlustleistung o Schaltgeschwindigkeit relativ langsam: tp = 90ms o Höherer Bereich von UB (3,5V...15V) o Bei UB = 5V bedingt kompatibel o Ausgangspegel extrem nah an der Betriebsspannung (hoher Fan-Out) o Relative Unempfindlichkeit gegenüber Temperaturschwankungen o Problem: Leicht zerstörbar Sehr empfindlich ESD Schutz! - ECL o Differenzverstärkerprinzip, keine Sättigung o Sehr schnell (Schaltgeschwindigkeit: tp = 2ms) o Hoher Energieverbrauch Aufbau, Schaltverhalten?? Kompatibilität??? Seite 9

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Handbuch TTLr und CMOS-Schaltungen

Handbuch TTLr und CMOS-Schaltungen Dr.-Ing. habil. Eberhard Kühn Handbuch TTLr und CMOS-Schaltungen 4., stark bearbeitete Auflage Hüthig Buch Verlag Heidelberg Inhaltsverzeichnis Vorwort Formelzeichen und Abkürzungen V XI 1 Systematik der

Mehr

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166

3 Die Arten und Familien integrierter Schaltkreise für die Digitaltechnik Die TTL-Familien 166 1 Der kleine Unterschied... analoge und digitale Signale 13 2 Ein paar technische Grundlagen sind für die Digitaltechnik wichtig 20 2.1 Das ohmsche Gesetz und was dahintersteckt 20 A Strom, Spannung, Leistung

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag,

WS 2012/13 Klausur zur Digitaltechnik. Donnerstag, Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... WS 01/13 Klausur zur Digitaltechnik Donnerstag, 8..013 Lösen Sie die

Mehr

Aufgabe 3.1 Schaltalgebra - Schaltnetze

Aufgabe 3.1 Schaltalgebra - Schaltnetze Aufgabe 3.1 Schaltalgebra - Schaltnetze Zeichnen Sie die folgenden Funktionen als Zusammenschaltung von AND-, OR- und Invertergattern: a) b) F = X ( Y Z) F = EN ( X Y) ( Y Z) zur Lösung 3.1 Aufgabe 3.2

Mehr

Speicherregister/Latches (1) 1

Speicherregister/Latches (1) 1 Informationstechnik Digitaltechnik Speicherregister/Latches (1) 1 Typisch in der Mikroprozessortechnik ist, dass viele Signale gleichzeitig mit identischen Schaltungskomponenten verarbeitet werden. Beispiele:

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Übertragungskennlinien

Übertragungskennlinien Übertragungskennlinien für H- und L-Pegel für H- und L-Pegel NOT Funktion = /X Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 25 Übertragungskennlinien für H- und L-Pegel für H- und

Mehr

1 DigitaleSchaltkreise

1 DigitaleSchaltkreise Nachrichtenverarbeitung 1 DigitaleSchaltkreise Von Prof. Dipl.-Ing. G. Schaller und Prof. Dipl.-Ing. W. Nüchel Fachhochschule Köln 2., neubearbeitete Auflage Mit 189 Bildern, 3 Tafeln, 32 Beispielen ä

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Praktikum Elektronik

Praktikum Elektronik Fakultät Elektrotechnik Hochschule für Technik und Wirtschaft Dresden University of Applied Sciences Friedrich-List-Platz 1, 01069 Dresden ~ PF 120701 ~ 01008 Dresden ~ Tel.(0351) 462 2437 ~ Fax (0351)

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Page 1 of 13 Fenster schließen Digitaltechnik 1. Einige Grundlagen 1.1 Signalpegel 1.2 Logische Schaltglieder 1.2.1 UND / AND - Gatter 1.2.2 ODER / OR - Gatter 1.2.3 NICHT / NOT - Gatter 1.2.4 NICHT-UND

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 01.07.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Handbuch TTL- und CMOS Schaltkreise

Handbuch TTL- und CMOS Schaltkreise Handbuch TTL- und CMOS Schaltkreise Dr. sc. tedin. Eberhard Kühn 3., bearbeitete Auflage DR. ALFRED HÜTHIG VERLAG HEIDELBERG Inhaltsverzeichnis Formelzeichen und Abkürzungen 1. Systematik der Schaltkreise

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Martin Häßler Hans-Werner Sträub. Grundlagen und Anwendungen. Mit 257 Abbildungen. Franzis

Martin Häßler Hans-Werner Sträub. Grundlagen und Anwendungen. Mit 257 Abbildungen. Franzis Martin Häßler Hans-Werner Sträub Grundlagen und Anwendungen Mit 257 Abbildungen Franzis 1 Übersicht 13 1.1 Analog - kontinuierliche Signale 13 1.2 Digital - abzählbare Elemente 14 1.3 Fuzzy-unscharfe Logik

Mehr

5 Zusammengesetzte und reguläre Schaltungsstrukturen

5 Zusammengesetzte und reguläre Schaltungsstrukturen 5 Zusammengesetzte und reguläre Schaltungsstrukturen regelmäßig aufgebaute (reguläre) Schaltungsstrukturen implementieren jeweils eine größere Zahl an Gatterfunktionen wichtigste Vertreter: Speicher, programmierbare

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2

Institut für Informatik. Aufgaben zur Klausur Grundlagen der Technische Informatik 1 und 2 UNVESTÄT LEPZG nstitut für nformati Prüfungsaufgaben 2. Klausur zur Vorlesung WS 23/24 und SS 24 Prof. Dr. Martin Middendorf Dr. Hans-Joachim Liese Datum: Mittwoch, 9. Februar 25 Uhrzeit: 8 - Ort: H4 Aufgaben

Mehr

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler

V0~~ärts-RUckw~-Dezim~lef74190undCBit-Binllnatiler Inhalt Boolesche Algebra... 13 Mengenalgebra... 14 Festlegung und Darstellung von Mengen...15 Relationen zwischen Mengen...16 Gleichmächtige oder äquivalente Mengen... 17 Verknüpfungen von Mengen...19

Mehr

Fachhochschule Dortmund FB Informations und Elektrotechnik KLAUSUR LN/FP Sensortechnik/Applikation

Fachhochschule Dortmund FB Informations und Elektrotechnik KLAUSUR LN/FP Sensortechnik/Applikation KLAUSUR LN/FP Sensortechnik/Applikation Name: Matr.-Nr.: Vorname: Note: Datum: Beginn: 8:15 Uhr Dauer: 120 Min. Aufgabe 1 2 3 4 Summe max. Pkt 22 18 14 10 64 err. Pkt Allgemeine Hinweise: Erlaubte Hilfsmittel:

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten

Elektronikpraktikum SS Serie J. Pochodzalla und W. Lauth mit Assistenten Elektronikpraktikum SS 2011 7. Serie 30.06.2011 J. Pochodzalla und W. Lauth mit Assistenten Do. 30.06.11 13:00-16:00 Uhr, sowie Fr. 01.07.11 13:00-16:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum) 1. Stock,

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.

Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1. Inhaltsverzeichnis Vorwort 5 1 Grundbegriffe 15 1.1 Analoge und digitale Größendarstellung 15 1.1.1 Analoge Größendarstellung 15 1.1.2 Digitale Größendarstellung 17 1.2 Binäre und logische Zustände 18

Mehr

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen.

I. Ziel der Versuche Verständnis für Entwurf und Funktionsweise digitaler Schaltungen. Elektronikpraktikum SS 2015 7. Serie: Digitale Schaltungen U. Schäfer, A. Brogna, Q. Weitzel und Assistenten Ausgabe: 07.07.2015, Durchführung: Di. 14.07.15 13:00-17:00 Uhr Ort: Gebäude 02-413 (Anfängerpraktikum)

Mehr

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1

Halbleiterspeicher. Halbleiterspeicher. 30.09.2008 Michael Kuhfahl 1 Halbleiterspeicher 30.09.2008 Michael Kuhfahl 1 Gliederung I. FF als Speicher (1 Bit) II. Register als Speicher (n Bit) III. Anordnung der Speicherzellen IV. SRAM V. DRAM VI. ROM VII. PROM VIII. EPROM

Mehr

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE

DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Seite 1 von 23 DIGITALTECHNIK 06 SCHALTUNGS- SYNTHESE UND ANALYSE Inhalt Seite 2 von 23 1 SCHALTUNGS- SYNTHESE UND ANALYSE... 3 1.1 NORMALFORM... 5 1.2 UND NORMALFORM... 5 1.3 ODER NORMALFORM... 7 1.4

Mehr

Schaltungen der Datenverarbeitung

Schaltungen der Datenverarbeitung Schaltungen der Datenverarbeitung Von Dr.-Ing. Klaus Waldschmidt Professor an der Universität Dortmund Unter Mitwirkung von Dr.-Ing. Hans-Ulrich Post und Dipl.-Ing. Christoph Steigner Universität Dortmund

Mehr

Kurzbeschreibung Unipolar-Schrittmotor-Ansteuerung SAMOtronic-uni

Kurzbeschreibung Unipolar-Schrittmotor-Ansteuerung SAMOtronic-uni Kurzbeschreibung nipolar-schrittmotor-ansteuerung SAMOtronic-uni 1. Übersicht 55 36 10 7 +10VDC...+4VDC Frequenz 3, 5 6 CLOCK DIS 5 4 3 1 CLOCK CW / CCW FS / HS DIVE INH 3. GND SW3 SW Treiber abgeschalten

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Schülerexperimente zur Elektronik

Schülerexperimente zur Elektronik Schülerexperimente zur Elektronik Walter Sova Diodenschaltungen 1) Welche Lämpchen leuchten jeweils bei den Schalterstellungen? 2) Für den Durchlassbereich eines bestimmten Diodentyps wurde die dargestellte

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime professionnel Métiers de l'électricité Division de l'apprentissage artisanal Section des électroniciens en communication Microélectronique Classe de X2EC Nombre

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Klausur PHMJ02 SS2012. Mikrocontroller und Robotik. Mittwoch GUTEN ERFOLG!!!

Klausur PHMJ02 SS2012. Mikrocontroller und Robotik. Mittwoch GUTEN ERFOLG!!! Universität Koblenz Landau Name: Musterlösung Institut Naturwissenschaften Vorname:... Abteilung Physik Matr. Nr.:... Studiengang:... Klausur PHMJ02 SS2012 Mikrocontroller und Robotik Mittwoch 15.8.2012

Mehr

Inhaltsverzeichnis.

Inhaltsverzeichnis. Inhaltsverzeichnis Vorwort 11 1.0 Allgemeine Grundlagen 13 1.1 Die unterschiedlichen Abstraktionsebenen 13 1.2 Beschreibungsmöglichkeiten 18 1.3 Keine Superposition bei nichtlinearen Schaltungen 23 1.4

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage VLSI-Entwurf Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 3., durchgesehene Auflage Mit 307 Bildern, 15 Tabellen, 14 Beispielen und 77 Aufgaben R. Oldenbourg Verlag München Wien 1996 Inhaltsverzeichnis

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Inhaltsverzeichnis.

Inhaltsverzeichnis. IX Vorwort VII 1 Logik für Jeden 1 1.1 Logische Funktionen 1 1.1.1 Die Grundfunktionen 1 1.1.2 Funktionstabellen 3 1.1.3 Zusammengesetzte Funktionen 5 1.1.4 Übungen 9 1.2 Rechnen mit logischen Funktionen

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

Betriebsverhalten von digitalen integrierten Schaltungen

Betriebsverhalten von digitalen integrierten Schaltungen Betriebsverhalten von digitalen integrierten Schaltungen Paul Brosovsky, Manuel Janocha, Dennis Winkler 3. Dezember 2009 1 Inhaltsverzeichnis 1 Übergangsverhalten 3 1.1 Versuchsbeschreibung......................................

Mehr

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops.

Für den Aufbau von Synchronzählern verwendet man fast ausschließlich JK-Flipflops. Sequentielle Schaltungen 1 Dual-Rückwärtszähler synchrone Modulo-n-Zähler Schaltung eines Modulo-5-Zählers Gegenüberstellung der Zählerstände Dezimal- Dezimalziffer C B C B ziffer 0 0 0 0 1 1 1 7 1 0 0

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik

Benutzte Quellen. Benutzte Bezeichnungen. Logik. Logik Benutzte uellen Benutzte Bezeichnungen Vorlesungen von r.-ing. Vogelmann, Universität Karlsruhe Vorlesungen von r.-ing. Klos, Universität Karlsruhe Vorlesungen von r.-ing. Crokol, Universität Karlsruhe

Mehr

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut.

Leistungsbauelemente sind zur besseren Wärmeabfuhr in halbgeöffnete Leichtmetallgehäuse eingebaut. EloTrain - Stecksystem Stecksysteme sind robuste, modulare Elektrotechnik-Baukastensysteme. Steckbausteine mit elektronischen Bauelementen werden auf Basisplatten zu Schaltungen zusammengesetzt und ermöglichen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Humboldt-Universität zu Berlin Institut für Physik Versuch 7 Kopplung analoger und digitaler Schaltungen 1. Elektronische Schalter

Humboldt-Universität zu Berlin Institut für Physik Versuch 7 Kopplung analoger und digitaler Schaltungen 1. Elektronische Schalter Humboldt-Universität zu Berlin Institut für Physik Versuch 7 Kopplung analoger und digitaler Schaltungen 1. Elektronische Schalter Feldeffekt-Transistoren (FET) werden unter Nutzung ihres spannungssteuerbaren

Mehr

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker Klaus Fricke Digitaltechnik Lehr- und Übungsbuch für Elektrotechniker und Informatiker 2., durchgesehene Auflage Mit 147 Abbildungen und 86 Tabellen Herausgegeben von Otto Mildenberger vieweg VII 1 Einleitung

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Modul 6 Name: Berufsbildende Schule Technik Carl-Benz-Schule Klasse: Datum: Seite 1/5

Modul 6 Name: Berufsbildende Schule Technik Carl-Benz-Schule Klasse: Datum: Seite 1/5 Klasse: Datum: Seite 1/5 A/D-Wandler (A/D-Umsetzer) Einführung Was macht ein A/D-Wandler? Er wandelt eine Spannung in eine dazu proportionale Zahl um. Beispiel mit 3 Bit, 0-8V. Der Bereich um 3V (2.5V

Mehr

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52

3.2 Verknüpfung von Variablen... 48 3.3 Sheffer- und Pierce-Funktion... 50 3.4 Übungen... 52 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung...1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 5. Vorlesung Klaus Kasper Inhalt Zyklische Folgeschaltung Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Digitaltechnik 2 2 JKFlipFlop I Digitaltechnik 2 3 JKFlipFlop

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 4. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik Inhalt Wiederholung Wechselspannung Einfache Logische Verknüpfungen Logikschaltungen

Mehr

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 4., durchgesehene Auflage

VLSI-Entwurf. Modelle und Schaltungen von Professor Dr.-Ing. Kurt Hoffmann 4., durchgesehene Auflage 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. VLSI-Entwurf Modelle und Schaltungen von Professor Dr.-Ing. Kurt

Mehr

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle. Table of Contents Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik 1 2 2 3 Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.de UniTrain UniTrain - das multimediale E-learning

Mehr

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54

3.2 Verknüpfung von Variablen... 50 3.3 Sheffer- und Pierce-Funktion... 52 3.4 Übungen... 54 Inhaltsverzeichnis 1 Einführung 1 1.1 Analog - Digital Unterscheidung... 1 1.1.1 Analoge Darstellung...2 1.1.2 Digitale Darstellung...3 1.1.3 Prinzip der Analog-Digital-Wandlung...4 1.2 Begriffsdefinitionen...5

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler 1

Zeitabhängige binäre Schaltungen. Prof. Metzler 1 Zeitabhängige binäre Schaltungen 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop in den gesetzten

Mehr

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1 Aufgabe 1 An einem Schaltkreis, der ein Register enthält, messen Sie die in Abb. 1 gezeigte Signalfolge. Handelt es sich dabei um ein D-Flipflop- oder um ein

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Einführung in die Digitaltechnik

Einführung in die Digitaltechnik Einführung in die Digitaltechnik Von Professor Dr.-Ing. Heinz-Georg Fehn Fachhochschule Münster Mit 212 Bildern und 71 Tabellen J. Schlembach Fachverlag ULBDwmstadt Inhaltsverzeichnis 1 Einführung 1 1.1

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebenes Praktikumsprotokoll aus dem Modul physik313. Dieses Praktikumsprotokoll wurde nicht bewertet. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

10 Analog-Digital-Wandler

10 Analog-Digital-Wandler Digitaltechnik Kapitel 10 Seite 1 10 Analog-Digital-Wandler Inhalt: 10 ANALOG-DIGITAL-WANDLER...1 10.1 EINFÜHRUNG...1 10.2 EIGENSCHAFTEN VON AD-WANDLERN...2 10.2.1 Auflösung und Genauigkeit...2 10.2.2

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 16. 7. 2015 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 7 FH Dortmund FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 16. 7. 2015 Aufgaben und

Mehr

Enseignement secondaire technique

Enseignement secondaire technique Enseignement secondaire technique Régime de la formation de technicien - Division électrotechnique Cycle moyen T0EL - Electronique (TRONI) 4 leçons Manuels obligatoires : 1. Elektronik II, Bauelemente

Mehr

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Aufgabe Punkte Aufgabe 1: / 35 Aufgabe 2: / 25 Aufgabe 3: / 15 Aufgabe 4: / 15 Aufgabe 5: / 35 Aufgabe 6: / 15 Aufgabe 7: / 20 Aufgabe 8:

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM

Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM Speicher: RAMs, ROMs PROMS, EPROMs, EEPROMs, Flash EPROM RAMs (Random Access Memory) - Schreib-Lese-Speicher RAMs sind Speicher mit der Aufgabe, binäre Daten für eine bestimmte Zeit zu speichern. Diese

Mehr

Bauelemente der Technischen Informatik

Bauelemente der Technischen Informatik Fachbereich IV - Informatik Bauelemente der Technischen Informatik Manfred Paul Vorlesungsskript SS 2003 Version vom 1. Mai 2003 Alle Rechte vorbehalten. Vervielfältigung jeglicher Art ist untersagt. by

Mehr

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS

PC & Elektronik. Herbert Bernstein. PC Digital. Labor. Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen. Mit 317 Abbildungen FRANZIS PC & Elektronik Herbert Bernstein PC Digital Pnaxisnahes Lernen mit TTL- und CMOS- Bausteinen Labor Mit 317 Abbildungen FRANZIS Inhalt 1 Boolesche Algebra 13 1.1 Mengenalgebra 14 1.1.1 Festlegung und Darstellung

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese

Arbeitsblatt Logische Verknüpfungen Schaltnetzsynthese Einleitung Zur Aktivitätsanzeige der 3 Gehäuselüfter (Signale a - c) eines PC-Systems soll eine Logikschaltung entwickelt werden, die über drei Signalleuchten (LEDs) anzeigt, ob ein beliebiger (LED1 x),

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 12. Vorlesung Dr.-Ing. Wolfgang Heenes 6. Juli 2010 TechnischeUniversität Darmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Logikfamilien 2. Die Ausgangsstufen

Mehr

Klausur Lösung

Klausur Lösung Name: Matr.-Nr.: Unterschrift: Die Klausur besteht aus elf Blättern und 10 Aufgaben. ACHTUNG!!! Die Blätter dürfen NICHT getrennt werden. Das Deckblatt ist mit Angabe des Namens, Matrikelnr. und der Unterschrift

Mehr

Versuch: D2 Zähler und Ampelschaltung

Versuch: D2 Zähler und Ampelschaltung Versuch: D2 Zähler und Ampelschaltung Ziel dieses Versuches: asynchrone und synchrone Zähler entwerfen, aufbauen und untersuchen, damit eine Ampelschaltung betreiben Inhalte: asynchroner 4 Bit-Zähler,

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2013/14 22. Januar 2014 Kurzwiederholung / Klausurvorbereitung II Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Aufgaben zum Elektronik - Grundlagenpraktikum

Aufgaben zum Elektronik - Grundlagenpraktikum UNIVERSITÄT LEIPZIG Institut für Informatik Wintersemester 1999/2000 Abt. Technische Informatik Dr. Hans-Joachim Lieske Aufgaben zum Elektronik - Grundlagenpraktikum 4. Praktikumskomplex - Teil 1 Nutzung

Mehr

Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8. Wintersemester 2015/16 Elektronik

Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8. Wintersemester 2015/16 Elektronik Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8 Hochschule München Fakultät 03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Matr.-Nr.: Hörsaal: Wintersemester

Mehr