Physikalisches Grundpraktikum für Physiker/innen Teil III. Digitalelektronik I, II

Größe: px
Ab Seite anzeigen:

Download "Physikalisches Grundpraktikum für Physiker/innen Teil III. Digitalelektronik I, II"

Transkript

1 Fachrichtungen der Physik UNIVERSITÄT DES SAARLANDES Physikalisches Grundpraktikum für Physiker/innen Teil III Digitalelektronik I, II WWW-Adresse Grundpraktikum Physik: 0http://grundpraktikum.physik.uni-saarland.de/ Kontaktadressen der Praktikumsleiter: Dr. Manfred Deicher Zimmer: 1.11, Gebäude E H1Hmanfred.deicher@tech-phys.uni-sb.de Telefon: 0681/ Dr. Patrick Huber Zimmer: 3.23, Gebäude E H2Hp.huber@physik.uni-saarland.de Telefon: 0681/

2 Digitalelektronik I, II DE I, II 1 Vorwort zu den Versuchen Die Ihnen vorliegende Versuchsanleitung besteht aus mehreren Teilen : 1. die konzentrierte Zusammenfassung der durchzuführenden Aufgaben für die Versuche Digitale Elektronik I und II ; diese finden sie auf den letzten Seiten zusammengestellt. 2. Das eigentlich Wichtigste, nämlich die theoretischen Betrachtungen und die physikalischen Hintergründe sind als Arbeitsgrundlage für die beiden Versuche gedacht. Versuch II baut folgerichtig auf dem ersten auf. In den Text sind locker einige Aufgaben eingestreut, die zur Überprüfung des zu erarbeitenden Stoffes dienen und die vorher zu Hause zu beantwortenden üblichen 10 Fragen ersetzten. Wir haben uns bemüht, den Stoff so weit wie möglich zu beschränken, ohne jedoch die zum Verständnis notwendigen Dinge zu vernachlässigen, so daß Sie die Versuche allein anhand der Anleitung durchführen können sollten. Es gibt u.e. kein Lehrbuch, in dem alle vorkommenden Gebiete so abgehandelt werden, wie es dem Niveau eines Grundpraktikums entspricht. Für Interessenten sind im Literaturverzeichnis einige Bücher angegeben. Bewußt haben wir in Kauf genommen, daß z. B. Kenntnisse über Feldeffekttransistoren aus Vorlesungen möglicherweise nicht vorliegen. Da Sie jedoch bereits über physikalische Grundkenntnisse verfügen, dürfte Ihnen die Erarbeitung dieses neuen Teilgebiets nicht schwerfallen. Dieser Versuch ist neu in unserem Programm; wir sind dankbar für alle Kritiken und Verbesserungsvorschläge; ja wir bitten sogar darum! Viel Spaß bei der Durchführung! Sehr wichtig! Die Schaltkreise sind äußerst empfindlich gegen artfremde Spannungen, z. B. statische Aufladungen, Netzbrummen usw. Verbinden Sie deshalb nie Ihre Schaltung mit nicht zum Versuch gehörenden Geräten! Legen Sie keine logischen Pegel an Gatterausgänge und verbinden Sie keine Ausgänge miteinander (Kurzschluß). Behandeln Sie bitte die Frontplatte und die Steckverbindungen pfleglich und mit Sorgfalt.

3 DE I, II 2 Digitalelektronik I, II Wichtig! - VOR DER DURCHFÜHRUNG DES VERSUCHS ZU LESEN - Handhabung des Versuchsbrettes : Alle zur Durchführung des Versuches benötigten Schaltkreise sind in einem Gehäuse untergebracht. Ein- und Ausgänge sind über Buchsen auf der Frontplatte nach außen geführt; nach Anschluß des Netzsteckers liegen die benötigten Betriebsspannungen überall an. Im einzelnen sind vorhanden : Sektion Gatter (I) : Vorhanden sind 8 verschiedene Gatter mit je zwei Eingängen und drei Gatter mit vier Eingängen. Der logische Ausgangszustand wird durch eine Leuchtdiode (LED) angezeigt : LED leuchtet : = 1. Der Einfachheit halber sind auf der Frontplatte nur Symbole für AND- Gatter gezeichnet : diese stimmen nicht mit den tatsächlich vorhandenen überein! (trivialer Grund : Versuch I, Aufgabe 2) (Ia) : Zur Messung der Übertragungskennlinien benutzen Sie die drei Inverter mit den Bezeichnungen, TTL, C MOS (Ib) : Beim Aufbau der Ampelsteuerung sind drei LED's (rot, grün, gelb) mit Treiberstufe vorhanden. Zur Realisierung von logischen Zuständen an Gattereingängen benutzen Sie die Sektion Schalter (II). Sektion Zähler (III) : Sie finden dort vier bereits hintereinandergeschaltete Flip-Flops mit den zugehörigen Ausgängen Q und Q und Takteingang CP für das erste Flip-Flop, sowie gemeinsamen RESET-Eingang R. Die logischen Zustände Q 0... Q 3 werden durch LED's angezeigt, zusätzlich kann die entsprechende Binärzahl auf einem 7-segment-display kontrolliert werden. Sektion dynamische Schaltungen (IV) : Zum Aufbau von mono- und astabilem Multivibrator benutzen Sie diskret veränderliche Widerstände ( Drehschalter, kω) mit zugehörigem Kondensator (10 nf), sowie die daneben befindlichen Gatter und Inverter. Interface (V) : Zur Verbindung mit der Außenwelt dienen BNC-Buchsen. Eine Dreieck-Spannung ( V) greifen Sie an der Buchse TRIANGLE ab. An der Buchse PULSE liegt eine positive Impulsfolge, deren Frequenz mit dem Schalter SLOW/FAST umschaltbar ist. Ein einzelner positiver Impuls erscheint nach Betätigen des Tasters an der Buchse SINGLE STEP.

4 IV R C Versuchsbrett III Ib V Ia I II

5 Digitalelektronik I, II DE I, II 4 1 Grundlagen 1.1 Digitale Systeme Grundlagen zum Erfassen digitaler Systeme ist die BOOLE sche Algebra (G. BOOLE, Mitte 19. Jh.), mit deren Hilfe man die mathematisch exakte Analyse logischer Zusammenhänge durchführen kann. Ein digitales System arbeitet auf binäre Art und Weise, d.h. es besteht aus Elementen, die nur zwei mögliche Zustände annehmen. Es gibt eine Menge von verschiedenen Bezeichnungen für diese Zustände, von denen einige im folgenden aufgeführt sind : der eine Zustand wahr der andere Zustand falsch 1 0 hoher Spannungspegel An spin up Nein Süd L niedriger Spannungspegel Aus spin down Ja Nord H Tabelle Zahlendarstellung in binären Systemen (Dual- oder Binärzahlen) Die Darstellung benutzt als Basis die Zahl 2, und die beiden binären Zustände werden dargestellt als 1 und 0. Im Binärsystem sind die einzelnen Ziffern die Koeffizienten in einer Potenzreihe zur Basis 2 - im Gegensatz zur dezimalen Darstellung mittels der Basis 10. Ein Beispiel verdeutlicht dies : Die Dezimalzahl 19 = und die Binärzahl = stellen beide denselben Zahlenwert dar. Eine binäre Ziffer nennt man bit (10011 besteht also aus 5 bits); eine Gruppe von bits, der eine Bedeutung zukommt, heißt word. Aufgabe 1 : Wieviel bits benötigt man zur binären Darstellung der Buchstaben des Alphabets und der Zahlen 0 bis 9?

6 Digitalelektronik I, II DE I, II Logische Funktionen Eine logische Funktion f (Schaltfunktion, BOOLE sche Funktion) ist eine Abbildung, die n binäre Variablen x 1,..., x n eine binäre Variable f(x 1,..., x n ) zuordnet. n f : B B : B = {0, 1}, n E N B n = B x B x... x B (n-faches direktes Produkt) Da jede binäre Variable nur zwei Zustände annehmen kann, ist die Anzahl der möglichen Kombinationen der Variablen aus B n genau 2 n. Eine übliche Darstellung der Funktion f erhält man dadurch, daß man die Kombination der binären Variablen als Binärzahl auffaßt und diese in der natürlichen Reihenfolge ordnet. Man erhält so eine Darstellung, die der bei analytischen Funktionen gebräuchlichen Wertetabelle entspricht. Für n=3 sähe eine mögliche Funktion z.b. aus : zugeordnete Binärzahl binäre Variable Funktionswert x1 x2 x Tabelle 2 : (Geordnete) Funktionstafel einer logischen Funktion Die Rechenregeln, die uns den binären Funktionswert aus den Eingangsvariablen liefern, enthält die BOOLE'sche Algebra (Schaltalgebra). Anmerkung : Dies ist keine Algebra im mathematischen Sinne.

7 DE I, II 6 Digitalelektronik I, II 1.4 Axiome der Schaltalgebra Gegeben sei eine Menge B und zwei Verknüpfungen : + Disjunktion, ODER-Verknüpfung Konjunktion, UND-Verknüpfung, B = {0, 1} Dann gelten folgende Axiome (A1) B ist abgeschlossen unter den Verknüpfungen + und (A2) Es existiert ein neutrales Element der UND-Verknüpfung : a 1=a Es existiert ein neutrales Element der ODER-Verknüpfung : a+0=a ; a B (A3) Kommutativgesetze : Für a, b B gelten a + b = b + a a b=b a (A4) Assoziativgesetze : (a+b)+c = a+(b+c) (a b) c = a (b c) (A5) Distributivgesetze : a+(b c) = (a+b) (a+c) a (b+c) = (a b)+(a c) (A6) Sind 1 und 0 aus (A2) eindeutig, dann existiert ein komplementäres Element a zu a. (Negation, dargestellt durch Querstrich) a a = 0 a+ a = 1 hieraus folgt sofort : a = a ( Anmerkung : das Verknüpfungssymbol wird oft weggelassen ) Oft benötigte Hilfsmittel zur Vereinfachung BOOLE scher Ausdrücke sind die DE MORGAN schen Sätze : (M1) A B C = A + B+ C+... (M2) A+ B+ C+ = A B C...

8 Digitalelektronik I, II DE I, II Analytische Darstellung von Schaltfunktionen Eine Schaltfunktion ist eindeutig bestimmt durch die disjunktive Verknüpfung der Minterme, bei denen die Funktion f(x 1,..., x n ) den Wert 1 annimmt. Definition : Ein Minterm von n Variablen x 1 bis x n ist eine konjunktive Verknüpfung, in der alle Variablen genau einmal vorkommen, und zwar entweder als solche oder negiert. In unserem Beispiel aus 3HTabelle 2 läßt sich f darstellen als (,, ) = ( ) + ( ) + ( ) + ( ) f x x x x x x x x x x x x x x x Aufgabe 2 : Vereinfachen Sie den analytischen Ausdruck für f(x1,x2,x3) mittels der Axiome der Schaltalgebra und der DE MORGAN schen Sätze. Eingangsvariable Funktionen x 1 x 2 AND OR NOT( x 1 ) NAND NOR EXOR Tabelle 3 : Funktionstafeln einiger wichtiger Schaltfunktionen (für 2 Eingangsvariable, NOT- Funktion nur für eine Variable) Reale Anordnungen, die dieses funktionale Verhalten zeigen (z.b. elektronische Schaltungen), bezeichnet man als Gatter und stellt sie folgendermaßen dar : NOT-Gatter a a (Inverter) AND-Gatter a b a b NAND-Gatter a b a b OR-Gatter a b a+b NOR-Gatter a b a+b EXOR-Gatter a b a b Abbildung 1 Aufgabe 3 : Stellen Sie die Funktionstafeln für die NAND- und NOR-Funktion mit 3 Eingangsvariablen auf.

9 DE I, II 8 Digitalelektronik I, II 2 Physikalische Realisierung von Schaltfunktionen Eine mögliche Darstellungsart der beiden erlaubten Zustände 0 und 1 ist die Zuordnung zu zwei verschiedenen Spannungswerten. Falls die 1 dem positiven Spannungspegel entspricht, gebraucht man den Begriff positive Logik ; negative Logik ordnet der 0 den positiveren Spannungspegel zu. Die absoluten Werte sind in keiner Weise maßgebend, insbesondere muß die 0 nicht einem Pegel von null Volt entsprechen. Bei der physikalischen Realisierung von Gattern benutzt man üblicherweise Spannungs- und stromgesteuerte Bauelemente, wie z.b. Dioden, Transistoren, Elektronenröhren usw. - einfache Schalter täten es übrigens auch. Die Parameter einer solchen Anordnung, wie z.b. die Dioden-Schwellspannung oder der Gleichstromverstärkungsfaktor eines Transistors, sind von Bauteil zu Bauteil verschieden und ändern sich außerdem mit der Temperatur. Deshalb sind Spannungspegel nur innerhalb gewisser Toleranzgrenzen definiert, beispielsweise 0 = 5 V ± 0.5 V ; 1 = -12 V ± 1 V. Je nach Art der verwendeten Bauteile ( hardware ) existieren verschiedene Familien von logischen Bauelementen, von denen wir im folgenden einige betrachten werden. 2.1 Die Übertragungskennlinie Eine charakteristische Größe der verschiedenen Gatter ist die Übertragungskennlinie, definiert als : Ausgangsspannung des Gatters als Funktion seiner Eingangsspannung(en). Hierbei durchläuft die Eingangsspannung alle, d.h. die von den technischen Daten zugelassenen Werte, nimmt also nicht nur die den beiden Zuständen 1 und 0 entsprechenden Pegel an. Das hat folgenden Grund : Kein elektronisches Bauteil ändert seinen Zustand sprunghaft zwischen zwei Werten, sondern durchläuft die Differenz mehr oder minder schnell. Dabei treten dann Spannungswerte auf, die nicht den definierten binären Zuständen entsprechen. Der Ausgang eines Gatters kann aber an den Eingang eines anderen Gatters gelegt werden, so daß es wichtig ist zu wissen, wie das Gatter auf nicht definierte (Spannungs-)Pegel am Eingang reagiert. Beispiel : Die gemessene Übertragungskennlinie eines Gatters habe folgendes Aussehen : U out 1V Abbildung 2 1V U S U in Man könnte nun folgende Zuordnung treffen : 0 = 1 V ± 0.25 V

10 Digitalelektronik I, II DE I, II 9 1 = 3 V ± 0,5 V (positive Logik) Qualitativ sieht man, daß es sich um ein invertierendes Gatter handelt. Definition : Der Schnittpunkt der Übertragungskennlinie mit der Winkelhalbierenden bestimmt die Umschaltspannung U s. 2.2 Messung der Übertragungskennlinie Dazu wird eine veränderliche Gleichspannung an den Eingang des Gatters gelegt und gleichzeitig dem x-verstärker des Oszilloskops zugeführt, während die Gatter- Ausgangsspannung dem y-verstärker zugeführt wird. Die variable Gleichspannung (0 V bis 5 V) liefert ein Dreiecksgenerator (s. Beschreibung des Arbeitsplatzes). Gatter in Test y Generator x Abbildung 3 3 Der Transistor als binäres Element Wir betrachten folgenden Schaltungsaufbau : +V S R C U in R B U out Abbildung 4 Aufgabe 4 : Wie verhält sich die Schaltung in 4HAbbildung 4, wenn dem Eingang die Spannungen + V s 1 und 0 V 0 zugeführt werden. (s. Versuch Transistor)? Zeigen Sie : Dieses Gatter ist als Inverter verwendbar!

11 DE I, II 10 Digitalelektronik I, II 3.1 DTL-Gatter Dieses Gatter stellt eine Erweiterung der Schaltung von 5HAbbildung 4 auf mehrere Eingänge dar. (DTL-Gatter). +V S x 3 x 2 f(x 1,x 2,x 3...) x 1 Abbildung 5 Aufgabe 5 : Welcher logischen Funktion entspricht diese Schaltung? Welche Unterschiede ergeben sich bzgl. des Wertes der Eingangsspannung im Vergleich zu 6HAbbildung TTL-Gatter Schaltet man mehrere Transistoren zusammen, so ergibt sich ein TTL-Gatter (Transistor- Transistor-Logik). Das Kennzeichen dieser Schaltung ist ein Multi-Emitter-Transistor als Eingangsstufe. + 5V 4 kω 1.6 kω 130 Ω IN 1 IN 2 Out 1 kω Abbildung 6 0 V Aufgabe 6 : Diskutieren Sie mit dem Versuchsbetreuer die Wirkungsweise dieses typischen TTL-NAND-Gatters (SN 7 400).

12 Digitalelektronik I, II DE I, II 11 4 Feld-Effekt-Transistoren als binäre Elemente Der Feld-Effekt-Transistor (FET) ist ein Halbleiterbauelement, dessen Operation von der Kontrolle eines Stromes durch ein elektrisches Feld bestimmt wird. Er unterscheidet sich vom üblichen bipolaren Transistor u.a. dadurch, daß der Strom durch ihn nur von einer Sorte von Ladungsträgern bestimmt wird, er ist deshalb ein unipolares Bauelement. Wir betrachten hier nur FET s mit isolierter Steuerelektrode, gemeinhin als MOSFET (Metal- Oxide-Semiconductor-FET) bezeichnet. 4.1 Der Aufbau eines MOSFET n-channel-mosfet Source Gate Drain J D D Al Oxide G Sub. V DS N N V GS S p Substrate Abbildung 7 : Schematischer Aufbau und Schaltsymbol eines n-channel-mosfet Der n-channel -MOSFET besteht aus einem leicht positiv-dotierten Si-Substrat (s. Versuch Transistor), in welches zwei stark n-dotierte Bereiche hineindiffundiert wurden. Der Abstand zwischen diesen beiden - Drain (D) und Source (S) - beträgt einige 10μm. Eine dünne Lage SiO 2 (10-7 m, Isolator) schließt den Kristall nach außen ab, nur über Drain und Source sind Aussparungen, die den elektrischen Kontakt zu den aufgedampften Aluminium-Elektroden herstellen. Die Gate-Elektrode bildet mit der Isolationsschicht aus SiO 2 und dem gegenüberliegenden Substrat einen Plattenkondensator. Legt man eine bzgl. des Substrats positive Spannung an das Gate, so baut sich ein elektrisches Feld senkrecht zur Oberfläche des Kristalls auf. Seine Feldlinien enden an induzierten negativen Ladungen im Substrat. Ihre Anzahl ist der Höhe der Gate-Spannung V GS proportional. Diese negativen Ladungen bilden den negativen Kanal ( n-channel ) im sonst p-dotierten Substrat. Da nun in Drain und Source ebenfalls negative Ladungsträger vorhanden sind, fließt ein Strom I D von Drain nach Source beim Anlegen einer positiven Drainspannung U DS. Der n-kanal zwischen D und S bildet gewissermaßen einen durch U GS steuerbaren OHM schen Widerstand. Die I D -U DS - Charakteristik bei verschiedenen U GS zeigt das nächste Bild.

13 DE I, II 12 Digitalelektronik I, II 25 ma 20 ma U GS = 15 V [ma] 15 ma U GS = 10 V / D I 10 ma 5 ma U GS = 5 V 0 V 5 V 10 V 15 V 20 V U DS / [V] Abbildung 8 Aufgabe 7 : Geben Sie eine Schaltung an, mit der die o.a. Abhängigkeit gemessen werden kann! Warum zeigt die I D -U DS -Kurve einen Sättigungseffekt? Wie groß ist der ohmsche Widerstand R DS ungefähr? p-channel-mosfet Vertauscht man die Polarität der Dotierungen (vgl. NPN- und PNP-Transistor), d.h. ein einem schwach n-leitenden Substrat zwei stark p-dotierte Drain- und Source-Bereiche bei sonst gleichem Aufbau, erhält man den p-kanal-fet. Hier bilden sich beim Anlegen einer negativen Gate-Spannung positive Ladungsträger im pchannel, es fließt ein Strom von Löchern zwischen Drain und Source. -J D D G Sub. -V DS -V GS S Abbildung 9 : Schaltsymbol eines p-channel-mosfet

14 Digitalelektronik I, II DE I, II Inverter mit komplementären MOSFET s (C MOS) Schaltet man einen n-kanal und einen p-kanal MOSFET gemäß 7HAbbildung 10 in Serie und verbindet die Gate-Anschlüsse, so erhält man ein Bauelement, dessen Schaltfunktion die eines Inverters (NOT-Gate) ist. +V DD G S In D D Out G S -V SS Abbildung 10 Wird eine positive Spannung (i. a. V DD ) an den Eingang gelegt, schaltet der n-kanal-fet ein : der Ausgang liegt über den niederohmigen Drain-Source-Kanal an -V SS, der p-kanal- FET leitet nicht, da er negative Gate-Spannung benötigt, um in den leitenden Zustand zu gelangen. Liegt am Eingang -V SS, sperrt der n-kanal-fet und der Ausgang nimmt über den p-kanal das Potential +V DD an. Aufgabe 8 : Wie groß ist im Idealfall bei angelegten Gate-Spannungen der Strom zwischen Eingang des MOSFET-Inverter und -V SS bzw. +V DD? In welcher Größenordnung liegt die Kapazität des Gate-Substrat-Kondensators? (ε r SiO 2 12) Aufgabe 9 : Erklären Sie die Wirkungsweise dieses CMOS-Gatters : +V DD In 1 In 2 Out Abbildung 11 -V SS

15 DE I, II 14 Digitalelektronik I, II 5 Versuch I A) Nehmen Sie die Übertragungsfunktionen eines Inverters der verschiedenen Logikfamilien gemäß 8HAbbildung 5, 9HAbbildung 6 und 10HAbbildung 10 auf. Benutzen Sie jedesmal die gleiche positive Versorgungsspannung V S = V DD = + 5V, -V SS = 0V. Legen Sie die Spannungspegel für die Zustände 0 und 1 fest (positive Logik) und bestimmen Sie die Schaltspannungen U S. Vergleichen Sie die drei Logikfamilien. B) Geben Sie die Funktionstafeln an für einige der (unbekannten) CMOS-Gatter auf dem Experimentierfeld (nach Rücksprache mit dem Assistenten). Legen Sie dazu nacheinander alle möglichen Eingangskombinationen an und bestimmen Sie den jeweiligen Wert der Ausgangsvariablen. Ordnen Sie das Gatter bekannten Funktionen zu. C) Aufbau eines Halbaddierers : Ein Halbaddierer addiert zwei einstellige Binärzahlen entsprechend den Regeln der BOOLE schen Algebra und liefert an seinen beiden Ausgängen einmal die direkte Summe S der Zahlen, zum anderen den Übertrag U. Beispiel : S (1,1) =0, U (1,1) =1. Stellen Sie eine Funktionstabelle auf und geben Sie die analytische Darstellung der Funktion S und U an. D) Aufbau einer Ampelsteuerung : Es soll eine Steuerung für eine Verkehrsampel aufgebaut werden, die in acht verschiedene Phasen nacheinander abläuft. Folgende Zuordnung wird getroffen : Phasen 0 bis 2 = rot Phase 3 = rot + gelb Phasen 4 bis 6 = grün Phase 7 = gelb Identifizieren wir die drei Farben mit den Ausgängen von Gattern (oder Kombinationen von Gattern) und nehmen wir dir binäre Darstellung der Phasen als Eingangsvariable, so kann man ebenfalls drei Funktionstafeln aufstellen und dir dazugehörige algebraischen Ausdrücke angeben. Führen Sie dieses durch, nehmen Sie an, daß ein Ampelsignal aufleuchten soll, wenn die dazugehörige Funktion 1 ist. Realisieren Sie Ihre Schaltfunktion mit den auf dem Versuchsbrett befindlichen Gattern (es sind mehrere Möglichkeiten denkbar, suchen Sie die einfachste aus). Hierzu können farbige Anzeige-LED s benutzt werden. E) Bauen Sie folgende Schaltung mit 2 C-MOS-NAND-Gattern auf. x 1 Q 1 x 2 Q 2 Abbildung 12 : NAND-Basis-Flip-Flop Stellen Sie eine Tabelle auf, in der Sie angeben, welche der vier möglichen Ausgangszustände (Q 1, Q 2 ) in Abhängigkeit von den vier Eingangszuständen zeitlich stabil sind, d.h. ob sich der Zustand (Q 1, Q 2 ), wenn die Eingangskombination (x 1, x 2 ) angelegt wird, ändert oder nicht.

16 Digitalelektronik I, II DE I, II 15 Welche Zustände (Q 1, Q 2 ) können auf diese Art und Weise gespeichert werden? 6 Versuch II Bisher (Einleitung und Versuch I) wurde davon ausgegangen, daß die logischen Funktionen, also die Zusammenhänge zwischen Eingangs- und Ausgangsvariablen von Schaltungen, zeitunabhängig waren. Im Teilversuch I.E trat ein neuer Aspekt hinzu : Änderungen bestimmter Ausgangskombinationen waren abhängig vom vorhergegangenen Zustand. Insbesondere ergab sich : ( 01, ) Durch Anlegen von ( 10, ) ( 10, ) an die Eingänge geht der Speicherausgang auf ( 01, ) oder bleibt so. Interessiert man sich jetzt nicht nur mehr für das statische Verhalten, sondern konstruiert einem Schaltkreis, der z.b. bei jedem Übergang der Eingangsvariablen x 1 von 0 auf 1 seinen Zustand ändert, so muß man dann das dynamische (=zeitabhängige) Verhalten untersuchen. Eine mögliche Realisierung eines solchen Schaltkreises zeigt die nächste Abbildung. In Out 1 Out 2 Abbildung 13 : Flankengesteuertes Flip-Flop Diese Schaltung ist allerdings nur in einem eingeschränkten Frequenzbereich funktionsfähig (warum?). Wir benutzen im folgenden industriell gefertigte Bausteine, die ebenfalls auf Änderungen der Eingangsvariablen CP, hier Takteingang genannt, reagieren. Weiterhin besitzt dieser Flip-Flop genannte Baustein einen RESET -Eingang R. Falls R=1, wird der Ausgang Q=0 unabhängig vom Takt. CP R Q Q Abbildung 14 : Schaltsymbol eines Flip-Flops

17 DE I, II 16 Digitalelektronik I, II A) Legen Sie ein Taktsignal an den Eingang CP und oszillografieren Sie Ein- und Ausgangssignal. Das Taktsignal entnehmen Sie der Buchse PULSE ; dies ist ein periodisches Signal mit etwa folgendem Verlauf : +5 V 0 V T (Taktfrequenz auf FAST ) Benutzen Sie die beiden y-eingänge des 2-Kanal-Oszillosokopes und übertragen Sie das Ergebnis in Ihr Protokoll. In welchem Verhältnis stehen Periodendauer T des Ausgangs Q und des Taktsignals CP zueinander B) Auf dem Experimentierfeld sind 4 dieser Flip-Flops hintereinandergeschaltete (Ausgang Q n an Eingang Q n+1 ). Fassen Sie die Ausgänge Q3 bis Q0 als vierstellige Binärzahl auf und notieren Sie die jeweils aufeinanderfolgenden Zustände, wenn Sie an den Takteingang der ersten Stufe ein langsames ( SLOW ) Taktsignal oder eine Folge von Einzelimpulsen anlegen. Die Zustände werden jeweils durch Leuchtdioden angezeigt. Wie verhält sich die Schaltung? Benutzen Sie den RESET-Eingang und eine geeignete Kombination von durch die Ausgänge Q 3... Q 0 gesteuerten Gattern, um diesen Binärzähler beim Erreichen der Zahl 1010 in den Zustand 0000 zu setzten. Durch welchen Faktor teilt dieser Zähler die Frequenz des angelegten Taktsignals? Bemerkung : Binär- und Dezimalzähler werden sehr häufig in der Meßtechnik eingesetzt, indem man die innerhalb eines gegebenen Zeitintervalls eintreffenden Impulse zählt und den Zählerinhalt anzeigt. Anwendungen sind z.b. Frequenzmesser oder digitale Spannungsmesser. C) Der monostabile Multivibrator (MMV) Wie aus Versuch I.A bekannt, wechselt ein C-MOS-Inverter beim Erreiche der Schaltspannung US am Eingang seinen Ausgangszustand. Dies kann benutzt werden, um bestimmte Ausgangspegel für definierte Zeiten zu erhalten, indem man an den Inverter- Eingang die Ladespannung eines Kondensators legt, der über einen Widerstand auf- und entladen wird. Bauen Sie folgende Schaltung auf : +5V R C I II III IV Abbildung 15 : Mono-Flop

18 Digitalelektronik I, II DE I, II 17 Sie verhält sich folgendermaßen : Für t=t 0 liegt Punkt III auf 1 = +5 V, der Inverterausgang IV und der Eingang I auf 0, demzufolge Punkt II auf 1; der Kondensator C ist entladen. Erscheint nun bei t=t 1 ein positiver Impuls am Punkt I, ändert sich II nach 0, ebenso III; IV geht nach 1 und verbleibt so, bis sich C auf die Schaltspannung U S aufgeladen hat. I t 0 t 1 II τ III -U S IV Abbildung 16 : Die Kondensatorspannung am Punkt III bestimmt man während des Aufladevorgangs über den Widerstand R nach folgender Gleichung : t UC = U 0 1 e RC ; U o = + 5 V Nach der Zeit t=τ erreicht U C die Schaltspannung U S. Us = U τ 0 1 e RC Bestimmen Sie die Zeit τ für verschiedene Werte von R (C=10nF), tragen Sie τ als Funktion des Widerstandswertes auf und errechnen Sie aus dem Diagramm den Wert für U S. Vergleichen Sie den berechneten Wert mit dem in Teil I.A gemessenen. Meßaufbau : Legen Sie an den Punkt I die schnelle Pulsfolge (s. Aufgabe A) und beobachten Sie den Ausgang IV auf dem Oszilloskop. Das Oszilloskop soll zur Zeitbestimmung genützt werden. D) Der astabile Multivibrator (AMV) Die bisher betrachteten Schaltungen besitzen stabile Zustände für beliebig lange Zeiten. Beim Speicher-Flip-Flop waren es die Zustände 1 und 0; beim Mono-Flop war nach Ablauf einer vorgegebenen Zeit der Zustand 0 der stabile. Wir betrachten nun eine Schaltung, die keinen zeitlich stabilen Zustand besitzt : den astabilen Multivibrator.

19 DE I, II 18 Digitalelektronik I, II Zum besseren Verständnis sehen wir und das Schaltbild an. III II I R Abbildung 17 : Der AMV besteht hier aus zwei Invertern mit einer externen RC-Schaltung. Die Punkte I und II besitzen stets verschiedene Potentiale (entsprechend den logischen Zuständen 1 bzw. 0) (warum?); deshalb wird der Kondensator C über den Widerstand R entweder aufgeladen oder er entlädt sich. Sobald an Punkt III die Umschaltspannung des Inverters erreicht ist, ändert dieser seinen Ausgangszustand, dies beeinflußt wiederum den Ladevorgang. An Punkt I (oder II) wird sich eine periodische Folge der beiden logischen Pegel einstellen, deren jeweilige Dauer von den Werten von R und C abhängt : 1=V DD 0=V SS t 1 t 0 Abbildung 18 : Zeitlicher Verlauf der Spannung an Punkt I Die Periodendauer dieser Wechselspannung (im Sinne der Definition?) kann man berechnen : VTR V T = t1 + t0 = R C ln + ln VDD + VTR 2 V V TR ist die Umschaltspannung DD DD V V TR TR = k RC Aufgabe : Bauen Sie die Schaltung nach 11HAbbildung 17 auf. Bestimmen Sie für verschiedene Werte von R (auf dem Versuchsbrett; C=10nF) die Periodendauer T, indem Sie Punkt I am Oszilloskop beobachten. Tragen Sie dann T gegen R. C auf und bestimmen Sie den numerischen Wert des Faktors k. Vergleichen Sie ihn mit dem berechneten Wert. Zeichnen Sie für eine feste Frequenz den zeitlichen Verlauf der Spannungen an den Punkten III und I (Zweikanal-Oszilloskop). Wie erklären Sie das Auftreten von Spannungen >V DD und < V SS? Machen Sie sich dazu nochmals die genaue Wirkungsweise der Schaltung während der einzelnen zeitlichen Phasen klar. Gehen Sie davon aus, daß der Kondensator für t=0 entladen ist und nehmen Sie einen beliebigen Zustand der Inverter an. Versuchen Sie (mit Hilfe des Versuchsbetreuer, falls nötig) die o.a. Formel für die Periodendauer herzuleiten.

20 Digitalelektronik I, II DE I, II 19 7 Literatur Schmidt, V. Digitalelektronisches Praktikum Teubner Studienskripten Nr. 19 Millman, J. & Halkias, C.C. Integrated Electronics McGraw-Hill Frisch, H. Elektronik (Grundlagen der Elektronik und der elektronischen Schaltungstechnik) VDI-Verlag weiterhin siehe Literaturangaben zum Versuch Transistor Deesz, W. & Döbrich, M. & Rüffler, F. Informatik 11/2 (4-bändige Unterrichtsgrundlage für den Leistungskurs der ref. Oberstufe) Verlag H. Raueiser, Saarbrücken 1977

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Grundlagen der Informationverarbeitung

Grundlagen der Informationverarbeitung Grundlagen der Informationverarbeitung Information wird im Computer binär repräsentiert. Die binär dargestellten Daten sollen im Computer verarbeitet werden, d.h. es müssen Rechnerschaltungen existieren,

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1

R C2 R B2 R C1 C 2. u A U B T 1 T 2 = 15 V. u E R R B1 Fachhochschule Gießen-Friedberg,Fachbereich Elektrotechnik 1 Elektronik-Praktikum Versuch 24: Astabile, monostabile und bistabile Kippschaltungen mit diskreten Bauelementen 1 Allgemeines Alle in diesem

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand

Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Entladen und Aufladen eines Kondensators über einen ohmschen Widerstand Vorüberlegung In einem seriellen Stromkreis addieren sich die Teilspannungen zur Gesamtspannung Bei einer Gesamtspannung U ges, der

Mehr

Spannungen und Ströme

Spannungen und Ströme niversität Koblenz Landau Name:..... Institut für Physik orname:..... Hardwarepraktikum für Informatiker Matr. Nr.:..... Spannungen und Ströme ersuch Nr. 1 orkenntnisse: Stromkreis, Knotenregel, Maschenregel,

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V

Das Experimentierbrettchen (Aufbau, Messpunkte): A B + 9V Kojak-Sirene: Experimente zur Funktionsweise 1. astabile Kippstufe 2. astabile Kippstufe Die Schaltung der Kojak-Sirene besteht aus zwei miteinander verbundenen astabilen Kippstufen (Anhang) und einem

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung

Oszilloskope. Fachhochschule Dortmund Informations- und Elektrotechnik. Versuch 3: Oszilloskope - Einführung Oszilloskope Oszilloskope sind für den Elektroniker die wichtigsten und am vielseitigsten einsetzbaren Meßgeräte. Ihr besonderer Vorteil gegenüber anderen üblichen Meßgeräten liegt darin, daß der zeitliche

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

7. Unipolare Transistoren, MOSFETs

7. Unipolare Transistoren, MOSFETs 7.1. Funktionsweise Die Bezeichnung MOSFET (Metal Oxide Semiconductor Field Effect Transistor) deutet auf den Aufbau dieses Transistors hin: Das Halbleiterelement ist mit einer sehr dünnen, isolierenden

Mehr

1. Theorie: Kondensator:

1. Theorie: Kondensator: 1. Theorie: Aufgabe des heutigen Versuchstages war es, die charakteristische Größe eines Kondensators (Kapazität C) und einer Spule (Induktivität L) zu bestimmen, indem man per Oszilloskop Spannung und

Mehr

Praktikum Physik. Protokoll zum Versuch: Wechselstromkreise. Durchgeführt am 08.12.2011. Gruppe X

Praktikum Physik. Protokoll zum Versuch: Wechselstromkreise. Durchgeführt am 08.12.2011. Gruppe X Praktikum Physik Protokoll zum Versuch: Wechselstromkreise Durchgeführt am 08.12.2011 Gruppe X Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.de) Betreuer: Wir bestätigen hiermit, dass wir das

Mehr

Halbleiterbauelemente

Halbleiterbauelemente Mathias Arbeiter 20. April 2006 Betreuer: Herr Bojarski Halbleiterbauelemente Statische und dynamische Eigenschaften von Dioden Untersuchung von Gleichrichterschaltungen Inhaltsverzeichnis 1 Schaltverhalten

Mehr

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik

Dennis S. Weiß & Christian Niederhöfer. Versuchsprotokoll. (Fortgeschrittenen-Praktikum) zu Versuch 15. Digitalelektronik Montag, 31.5.1999 Dennis S. Weiß & Christian Niederhöfer Versuchsprotokoll (Fortgeschrittenen-Praktikum) zu Versuch 15 Digitalelektronik 1 Inhaltsverzeichnis 1 Problemstellung 3 2 nwendungen des de Morgan

Mehr

1 Wiederholung einiger Grundlagen

1 Wiederholung einiger Grundlagen TUTORIAL MODELLEIGENSCHAFTEN Im vorliegenden Tutorial werden einige der bisher eingeführten Begriffe mit dem in der Elektrotechnik üblichen Modell für elektrische Netzwerke formalisiert. Außerdem soll

Mehr

Aufgaben Wechselstromwiderstände

Aufgaben Wechselstromwiderstände Aufgaben Wechselstromwiderstände 69. Eine aus Übersee mitgebrachte Glühlampe (0 V/ 50 ma) soll mithilfe einer geeignet zu wählenden Spule mit vernachlässigbarem ohmschen Widerstand an der Netzsteckdose

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik. Praktikum Elektrotechnik und Antriebstechnik

Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik. Praktikum Elektrotechnik und Antriebstechnik FH D FB 4 Fachhochschule Düsseldorf Fachbereich Maschinenbau und Verfahrenstechnik Elektro- und elektrische Antriebstechnik Prof. Dr.-Ing. Jürgen Kiel Praktikum Elektrotechnik und Antriebstechnik Versuch

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Arbeitspunkt einer Diode

Arbeitspunkt einer Diode Arbeitspunkt einer Diode Liegt eine Diode mit einem Widerstand R in Reihe an einer Spannung U 0, so müssen sich die beiden diese Spannung teilen. Vom Widerstand wissen wir, dass er bei einer Spannung von

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Austausch- bzw. Übergangsprozesse und Gleichgewichtsverteilungen

Austausch- bzw. Übergangsprozesse und Gleichgewichtsverteilungen Austausch- bzw. Übergangsrozesse und Gleichgewichtsverteilungen Wir betrachten ein System mit verschiedenen Zuständen, zwischen denen ein Austausch stattfinden kann. Etwa soziale Schichten in einer Gesellschaft:

Mehr

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.

Praktikum Physik. Protokoll zum Versuch: Kennlinien. Durchgeführt am 15.12.2011. Gruppe X. Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm. Praktikum Physik Protokoll zum Versuch: Kennlinien Durchgeführt am 15.12.2011 Gruppe X Name 1 und Name 2 (abc.xyz@uni-ulm.de) (abc.xyz@uni-ulm.de) Betreuer: Wir bestätigen hiermit, dass wir das Protokoll

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Physik & Musik. Stimmgabeln. 1 Auftrag

Physik & Musik. Stimmgabeln. 1 Auftrag Physik & Musik 5 Stimmgabeln 1 Auftrag Physik & Musik Stimmgabeln Seite 1 Stimmgabeln Bearbeitungszeit: 30 Minuten Sozialform: Einzel- oder Partnerarbeit Voraussetzung: Posten 1: "Wie funktioniert ein

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

ELEXBO A-Car-Engineering

ELEXBO A-Car-Engineering 1 Aufgabe: -Bauen Sie alle Schemas nacheinander auf und beschreiben Ihre Feststellungen. -Beschreiben Sie auch die Unterschiede zum vorherigen Schema. Bauen Sie diese elektrische Schaltung auf und beschreiben

Mehr

Physik-Übung * Jahrgangsstufe 9 * Der Transistor Blatt 1

Physik-Übung * Jahrgangsstufe 9 * Der Transistor Blatt 1 Physik-Übung * Jahrgangsstufe 9 * Der Transistor latt 1 Aufbau eines Transistors Ein npn-transistor entsteht, wenn man zwei n-dotierte Schichten mit einer dünnen dazwischen liegenden p-dotierten Schicht

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik

Markus Kühne www.itu9-1.de Seite 1 30.06.2003. Digitaltechnik Markus Kühne www.itu9-1.de Seite 1 30.06.2003 Digitaltechnik Markus Kühne www.itu9-1.de Seite 2 30.06.2003 Inhaltsverzeichnis Zustände...3 UND austein ; UND Gatter...4 ODER austein ; ODER Gatter...5 NICHT

Mehr

EO - Oszilloskop Blockpraktikum Frühjahr 2005

EO - Oszilloskop Blockpraktikum Frühjahr 2005 EO - Oszilloskop, Blockpraktikum Frühjahr 25 28. März 25 EO - Oszilloskop Blockpraktikum Frühjahr 25 Alexander Seizinger, Tobias Müller Assistent René Rexer Tübingen, den 28. März 25 Einführung In diesem

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Physik III - Anfängerpraktikum- Versuch 302

Physik III - Anfängerpraktikum- Versuch 302 Physik III - Anfängerpraktikum- Versuch 302 Sebastian Rollke (103095) und Daniel Brenner (105292) 15. November 2004 Inhaltsverzeichnis 1 Theorie 2 1.1 Beschreibung spezieller Widerstandsmessbrücken...........

Mehr

Primzahlen und RSA-Verschlüsselung

Primzahlen und RSA-Verschlüsselung Primzahlen und RSA-Verschlüsselung Michael Fütterer und Jonathan Zachhuber 1 Einiges zu Primzahlen Ein paar Definitionen: Wir bezeichnen mit Z die Menge der positiven und negativen ganzen Zahlen, also

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr

Physikalisches Grundpraktikum für Physiker/innen Teil III Digitalelektronik

Physikalisches Grundpraktikum für Physiker/innen Teil III Digitalelektronik Fachrichtungen der Physik UNIVERSITÄT DES SAARLANDES Physikalisches Grundpraktikum für Physiker/innen Teil III WWW-Adresse Grundpraktikum Physik: 0Hhttp://grundpraktikum.physik.uni-saarland.de/ Praktikumsleiter:

Mehr

Praktikum GEE Grundlagen der Elektrotechnik Teil 3

Praktikum GEE Grundlagen der Elektrotechnik Teil 3 Grundlagen der Elektrotechnik Teil 3 Jede Gruppe benötigt zur Durchführung dieses Versuchs einen USB-Speicherstick! max. 2GB, FAT32 Name: Studienrichtung: Versuch 11 Bedienung des Oszilloskops Versuch

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

3. Halbleiter und Elektronik

3. Halbleiter und Elektronik 3. Halbleiter und Elektronik Halbleiter sind Stoe, welche die Eigenschaften von Leitern sowie Nichtleitern miteinander vereinen. Prinzipiell sind die Elektronen in einem Kristallgitter fest eingebunden

Mehr

Aktiver Bandpass. Inhalt: Einleitung

Aktiver Bandpass. Inhalt: Einleitung Aktiver Bandpass Inhalt: Einleitung Aufgabenstellung Aufbau der Schaltung Aktiver Bandpass Aufnahme des Frequenzgangs von 00 Hz bis 00 KHz Aufnahme deer max. Verstärkung Darstellung der gemessenen Werte

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Lineare Gleichungssysteme

Lineare Gleichungssysteme Lineare Gleichungssysteme 1 Zwei Gleichungen mit zwei Unbekannten Es kommt häufig vor, dass man nicht mit einer Variablen alleine auskommt, um ein Problem zu lösen. Das folgende Beispiel soll dies verdeutlichen

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 1 Datum: 17.5.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - Oszilloskop HM604 (OS8) - Platine (SB2) - Funktionsgenerator

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

Wechselstromwiderstände

Wechselstromwiderstände Ausarbeitung zum Versuch Wechselstromwiderstände Versuch 9 des physikalischen Grundpraktikums Kurs I, Teil II an der Universität Würzburg Sommersemester 005 (Blockkurs) Autor: Moritz Lenz Praktikumspartner:

Mehr

183.580, WS2012 Übungsgruppen: Mo., 22.10.

183.580, WS2012 Übungsgruppen: Mo., 22.10. VU Grundlagen digitaler Systeme Übung 2: Numerik, Boolesche Algebra 183.580, WS2012 Übungsgruppen: Mo., 22.10. Aufgabe 1: Binäre Gleitpunkt-Arithmetik Addition & Subtraktion Gegeben sind die Zahlen: A

Mehr

Theoretische Informatik SS 04 Übung 1

Theoretische Informatik SS 04 Übung 1 Theoretische Informatik SS 04 Übung 1 Aufgabe 1 Es gibt verschiedene Möglichkeiten, eine natürliche Zahl n zu codieren. In der unären Codierung hat man nur ein Alphabet mit einem Zeichen - sagen wir die

Mehr

Daten verarbeiten. Binärzahlen

Daten verarbeiten. Binärzahlen Daten verarbeiten Binärzahlen In Digitalrechnern werden (fast) ausschließlich nur Binärzahlen eingesetzt. Das Binärzahlensystem ist das Stellenwertsystem mit der geringsten Anzahl von Ziffern. Es kennt

Mehr

4 Kondensatoren und Widerstände

4 Kondensatoren und Widerstände 4 Kondensatoren und Widerstände 4. Ziel des Versuchs In diesem Praktikumsteil sollen die Wirkungsweise und die Frequenzabhängigkeit von Kondensatoren im Wechselstromkreis untersucht und verstanden werden.

Mehr

Grundlagenpraktikum Elektrotechnik Teil 1 Versuch 4: Reihenschwingkreis

Grundlagenpraktikum Elektrotechnik Teil 1 Versuch 4: Reihenschwingkreis ehrstuhl ür Elektromagnetische Felder Friedrich-Alexander-Universität Erlangen-Nürnberg Vorstand: Pro. Dr.-Ing. Manred Albach Grundlagenpraktikum Elektrotechnik Teil Versuch 4: eihenschwingkreis Datum:

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER

GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA DER SCHMITT-TRIGGER Prof. Dr.-Ing. Walter Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik Universität Bremen Grundlagenlabor Digitaltechnik ITEM GRUNDLAGENLABOR DIGITALTECHNIK VERSUCH 5 VERSUCHSTHEMA

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

PS II - Verständnistest 24.02.2010

PS II - Verständnistest 24.02.2010 Grundlagen der Elektrotechnik PS II - Verständnistest 24.02.2010 Name, Vorname Matr. Nr. Aufgabe 1 2 3 4 5 6 7 Punkte 3 4 2 2 1 5 2 erreicht Aufgabe 8 9 10 11 12 Summe Punkte 4 2 3 3 4 35 erreicht Hinweise:

Mehr

Naturwissenschaftliche Fakultät II - Physik. Anleitung zum Anfängerpraktikum A2

Naturwissenschaftliche Fakultät II - Physik. Anleitung zum Anfängerpraktikum A2 U N I V E R S I T Ä T R E G E N S B U R G Naturwissenschaftliche Fakultät II - Physik Anleitung zum Anfängerpraktikum A2 Versuch 3 - Gedämpfte freie Schwingung des RLC-Kreises 23. überarbeitete Auflage

Mehr

PHYSIKALISCHES PRAKTIKUM FÜR ANFÄNGER LGyGe. E 7 - Dioden

PHYSIKALISCHES PRAKTIKUM FÜR ANFÄNGER LGyGe. E 7 - Dioden 1.8.07 PHYSIKALISCHES PRAKTIKM FÜR ANFÄNGER LGyGe Versuch: E 7 - Dioden 1. Grundlagen nterschied zwischen Leitern, Halbleitern und Isolatoren, Dotierung von Halbleitern (Eigen- und Fremdleitung, Donatoren

Mehr

4. Übungsblatt zu Mathematik für Informatiker I, WS 2003/04

4. Übungsblatt zu Mathematik für Informatiker I, WS 2003/04 4. Übungsblatt zu Mathematik für Informatiker I, WS 2003/04 JOACHIM VON ZUR GATHEN, OLAF MÜLLER, MICHAEL NÜSKEN Abgabe bis Freitag, 14. November 2003, 11 11 in den jeweils richtigen grünen oder roten Kasten

Mehr

11.3 Komplexe Potenzreihen und weitere komplexe Funktionen

11.3 Komplexe Potenzreihen und weitere komplexe Funktionen .3 Komplexe Potenzreihen und weitere komplexe Funktionen Definition.) komplexe Folgen: z n = x n + j. y n mit zwei reellen Folgen x n und y n.) Konvergenz: Eine komplexe Folge z n = x n + j. y n heißt

Mehr

Kurzanleitung. MEYTON Aufbau einer Internetverbindung. 1 Von 11

Kurzanleitung. MEYTON Aufbau einer Internetverbindung. 1 Von 11 Kurzanleitung MEYTON Aufbau einer Internetverbindung 1 Von 11 Inhaltsverzeichnis Installation eines Internetzugangs...3 Ist mein Router bereits im MEYTON Netzwerk?...3 Start des YAST Programms...4 Auswahl

Mehr

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster Es gibt in Excel unter anderem die so genannten Suchfunktionen / Matrixfunktionen Damit können Sie Werte innerhalb eines bestimmten Bereichs suchen. Als Beispiel möchte ich die Funktion Sverweis zeigen.

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Signalverarbeitung 1

Signalverarbeitung 1 TiEl-F000 Sommersemester 2008 Signalverarbeitung 1 (Vorlesungsnummer 260215) 2003-10-10-0000 TiEl-F035 Digitaltechnik 2.1 Logikpegel in der Digitaltechnik In binären Schaltungen repräsentieren zwei definierte

Mehr

Elektrischer Widerstand

Elektrischer Widerstand In diesem Versuch sollen Sie die Grundbegriffe und Grundlagen der Elektrizitätslehre wiederholen und anwenden. Sie werden unterschiedlichen Verfahren zur Messung ohmscher Widerstände kennen lernen, ihren

Mehr

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden

Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum. Praktikum Nr. 2. Thema: Widerstände und Dioden Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 2 Name: Pascal Hahulla Matrikelnr.: 207XXX Thema: Widerstände und Dioden Versuch durchgeführt

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W Sie haben für diesen 50 Minuten Zeit. Die zu vergebenen Punkte sind an den Aufgaben angemerkt. Die Gesamtzahl beträgt 20 P + 1 Formpunkt. Bei einer Rechnung wird auf die korrekte Verwendung der Einheiten

Mehr

Elektronik Praktikum Operationsverstärker 2 (OV2)

Elektronik Praktikum Operationsverstärker 2 (OV2) Elektronik Praktikum Operationsverstärker 2 (OV2) Datum: -.-.2008 Betreuer: P. Eckstein Gruppe: Praktikanten: Versuchsziele Aufgabe 1: Aufgabe 2: Aufgabe 3: Aufgabe 4: Schaltung eines OPV als invertierenden

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

file://c:\documents and Settings\kfzhans.BUERO1\Local Settings\Temp\39801700-e...

file://c:\documents and Settings\kfzhans.BUERO1\Local Settings\Temp\39801700-e... Page 1 of 5 Komponentennummer 31 Identifikation Die Funktionsweise dieser Sensoren ist normalerweise überall gleich, obwohl sie sich je nach Anwendung oder Hersteller in der Konstruktion unterscheiden

Mehr

Vorbemerkung. [disclaimer]

Vorbemerkung. [disclaimer] Vorbemerkung Dies ist ein abgegebener Übungszettel aus dem Modul physik2. Dieser Übungszettel wurde nicht korrigiert. Es handelt sich lediglich um meine Abgabe und keine Musterlösung. Alle Übungszettel

Mehr

Elektrische Messtechnik, Labor

Elektrische Messtechnik, Labor Institut für Elektrische Messtechnik und Messsignalverarbeitung Elektrische Messtechnik, Labor Messverstärker Studienassistentin/Studienassistent Gruppe Datum Note Nachname, Vorname Matrikelnummer Email

Mehr

Praktikum: Schaltungstechnik II Vorlesung: Prof. Dr.-Ing. Matthias Viehmann

Praktikum: Schaltungstechnik II Vorlesung: Prof. Dr.-Ing. Matthias Viehmann Fachbereich Ingenieurwissenschaften Institut für Informatik, Automatisierung und Elektronik Praktikum: Schaltungstechnik II Vorlesung: Prof. Dr.-Ing. Matthias Viehmann Versuch: ST II-4, 90 min Thema: Anwendungen

Mehr

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum

Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Ernst-Moritz-Arndt-Universität Greifswald Fachbereich Physik Elektronikpraktikum Protokoll-Nr.: 11 Digitalschaltungen Protokollant: Jens Bernheiden Gruppe: 2 Aufgabe durchgeführt: 25.06.1997 Protokoll

Mehr