GTI ÜBUNG 11. Schaltwerk, Automaten, Schieberegister FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1



Ähnliche Dokumente
GTI ÜBUNG 11 SCHALTWERK, AUTOMATEN, SCHIEBEREGISTER

GTI ÜBUNG 11 AUTOMATEN

Grundlagen der Technischen Informatik. 13. Übung

Grundlagen der Technischen Informatik. 12. Übung

Vorlesung Rechnerstrukturen Winter 2002/03. 3b. Endliche Automaten. Modellierung und Realisierung von Steuerungen

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

Digital Design 4 Schaltwerke

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Logik mit Gedächtnis : Sequentielle Logik

Aufbau und Funktionsweise eines Computers - II

Grundlagen der Technischen Informatik

1 Entwurf und Verhalten einfacher, synchroner Automaten

Digitaltechnik Grundlagen 9. Zählschaltungen

Kapitel 4 Schaltungen mit Delays (Schaltwerke) Literatur: Oberschelp/Vossen, Kapitel 4. Kapitel 4: Schaltungen mit Delays Seite 1

Anmerkungen zu den Aufgabenstellungen, Lösungen und Bewertungen. Beachten Sie also bei Ihrer Lösung unbedingt

Logik mit Gedächtnis : Sequentielle Logik

Ergänzen Sie die Werte für y in dem unten angegebenen Ausschnitt der Schaltbelegungstabelle. Falsche Antworten führen zu Punktabzug.

C. Sequentielle Logik

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

DuE-Tutorien 17 und 18

Grundlagen der Digitaltechnik GD. Aufgaben

KLAUSUR DIGITALTECHNIK SS 00

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Digitaltechnik Grundlagen 8. Register

Aufgabe 3.1 Schaltalgebra - Schaltnetze

DuE-Tutorien 4 und 6. Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery. WOCHE 11 AM

Teil IV. Schaltwerke

Digitaltechnik Laborversuch 1. Bitmustererkennung. Wichtige Informationen

Laborübung 4. Zustandsautomaten (Finite State Machines)

Das Merkmalschema der Schaltalgebra ist genau so aufgebaut wie das der

Praktikum Digitaltechnik

Teil 1: Digitale Logik

das Ausgabealphabet [Fehler im Skript korrigiert (Schiffmann256)] -Z=z 1

Kapitel 4. Versuch 415 T-Flipflop

Angewandte Physik II: Elektronik

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

7.0 Endliche Zustandsautomaten und Steuerwerke

Digitaltechnik II SS 2007

Grundstruktur von Schaltwerken

GTI ÜBUNG 12. Komparator und Addierer FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

Grundlagen der Technischen Informatik

Klausur zur Vorlesung. Grundlagen der Technischen Informatik (GTI) und. Grundlagen der Rechnerarchitektur (GRA)

Eine Schaltung, deren Ausgänge von der Belegung der Eingänge und ihrem inneren Zustand abhängt, wird ein Schaltwerk genannt.

Computertechnik Schaltwerke, Sequentielle Schaltungen Register. Register. Dr. Wolfgang Koch

Grundlagen der Rechnerarchitektur

Grundtypen Flip-Flops

Automaten. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

- Zustandsvariable z i werden durch binäre Speicherelemente Flipflops FF realisiert, die entweder 1 gesetzt oder auf 0 rückgesetzt werden

III. Asynchrone und synchrone Schaltwerke

DuE-Tutorien 16 und 17

GTI ÜBUNG 9. Multiplexer, demultiplexer, shifter, cmos und pal FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

Grundlagen der Technischen Informatik. 9. Übung

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

GTI Bonus VHDL - EXTRA

Klausur - Digitaltechnik

Digitaltechnik 2 Arbeitsblätter - 1- Prof. Komar

Einführung in die technische Informatik

GTI ÜBUNG 8 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

Musterlösungen. zu den Aufgaben der Nachklausur zum. Kurs 1701 Grundlagen der Technischen Informatik. und. Kurs 1707 Technische Informatik I

Eingebettete Systeme

12. Tutorium Digitaltechnik und Entwurfsverfahren

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Teil 1: Digitale Logik

Digitaltechnik II SS 2007

Sequentielle Schaltungen 37 SS 96. Steuerpfad

VU Grundlagen digitaler Systeme

Teil 1: Digitale Logik

Schaltwerke Schaltwerk

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Rechnerorganisation I Zusammenfassung

D Sequentielle Logik. D Sequentielle Logik. 1 Schaltwerke (2) 1 Schaltwerke. Einordnung in das Schichtenmodell:

Übung zu Grundlagen der Technischen Informatik

Hardwarearchitekturen und Rechensysteme

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

Endliche Automaten. Im Hauptseminar Neuronale Netze LMU München, WS 2016/17

Teil 1: Logik 1e: Zustandsautomaten

Digitaltechnik II SS 2007

Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

SCHALTWERKE (State Machine)

Versuch: D2 Zähler und Ampelschaltung

Synthese digitaler Schaltungen Aufgabensammlung

Abb. 1. Abb. 1L. Abb. 2 ÜBUNGEN SEQUENTIELLE SCHALTUNGEN WS 10/11 1

Grundlagen der Technischen Informatik. 6. Übung. Christian Knell Keine Garantie für Korrekt-/Vollständigkeit

Rechnerstrukturen WS 2012/13

5. Vorlesung: Normalformen

Vollsynchrone Mehrfunktionsregister

Übungen zu Informatik 1

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

2. Übung: Flipflops und Automaten Abteilung Verteilte Systeme, Universität Ulm

Klausur-Nachbesprechung

Endliche Automaten 1 WS 00/01. Steuerautomaten

Transkript:

GTI ÜBUNG 11 Schaltwerk, Automaten, Schieeregister FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 1

AUFGABE 1 SCHIEBEREGISTER Das Bild zeigt einen sog. Johnson-Zähler, ei dem das invertierte Signal q 0 an den Eingang D angeschlossen wird. FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 2

AUFGABE 2 SCHALTWERK D-Flipflop o Eliminiert ungültiges Eingangstupel (1,1) o Hat folgende charakteristische Taelle: T D Q(t+1) 1 0 0 (Reset) 1 1 1 (Set) 0 - Q(t) (kein Wechsel) o D (Data): o C/T (Takt): zu speichernder Wert synchrones Flipflop FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 3

AUFGABE 1 SCHIEBEREGISTER Der aktuelle Wert der Speicherzellen sei 000. Stellen Sie die Folge der Speicherinhalte als gerichteten Graphen dar. Hinweis: Jeden Takt wird das Wort ein Bit nach Rechts geshiftet FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 4

AUFGABE 1 SCHIEBEREGISTER Der aktuelle Wert der Speicherzellen sei 000. Stellen Sie die Folge der Speicherinhalte als gerichteten Graphen dar. 0 0 1 0 0 0 0 1 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 5

AUFGABE 1 SCHIEBEREGISTER Eine schlankere, visuelle Darstellung 100 110 000 111 001 011 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 6

AUFGABE 1 SCHIEBEREGISTER Welche Speicherelegungen kommen in der Folge nicht vor? Vervollständigen Sie den Graphen aus a) entsprechend. FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 7

AUFGABE 1 SCHIEBEREGISTER 101 und 010 kommen in der Zählfolge nicht vor 00 0 10 0 11 0 11 1 10 1 01 0 00 1 01 1 1 0 1 0 1 0 0 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 8

AUFGABE 1 SCHIEBEREGISTER Realisieren Sie ein Schaltnetz, welches aus allen N Zuständen des rückgekoppelten Schieeregisters aus Aufgaenteil a) ein Lauflicht für N einzelne Lampen L 1 L N ansteuern kann. Sie können dazu auf die Ausgangswerte q 0, q 1, q 2 sowie deren negierte Signale zurückgreifen. Beginnen Sie mit einer Wahrheitstaelle. FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 9

AUFGABE 1 SCHIEBEREGISTER Realisieren Sie ein Schaltnetz, welches aus allen N Zuständen des rückgekoppelten Schieeregisters aus Aufgaenteil a) ein Lauflicht für N einzelne Lampen L 1 L N ansteuern kann. Sie können dazu auf die Ausgangswerte q 0, q 1, q 2 sowie deren negierte Signale zurückgreifen. Alle N Zustände Lauflicht q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L 3 L 4 L 5 L 6 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 1 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 10

AUFGABE 1 SCHIEBEREGISTER Schaltfunktionen nach ekannten Muster Wichtig: durch die eiden nicht genutzten Zustände haen wir zwei Don t Cares q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L 3 L 4 L 5 L 6 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 1 1 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 1 0 1 - - - - - - 0 1 0 - - - - - - FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 11

AUFGABE 1 SCHIEBEREGISTER L 1-3 mittels Symmetriediagramm q 2 q 1 q 0 q 2 q 1 q 0 L 1 L 2 L 3 0 0 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 1 1 1 0 0 0 0 1 1 0 0 0 0 0 1 0 0 0 1 0 1 - - - 0 1 0 - - - L 1 = q 0 q 2 = q 0 + q 2 L 2 = q 1 q 2 = q 1 + q 2 L 3 = q 0 q 1 = q 0 + q 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 12 q 1 q 1 q 1 q 0 1 0 0 0 0 0 q 2 q 0 0 0 1 0 0 0 q 0 q 2 0 0 0 0 0 1 q 2

AUFGABE 1 SCHIEBEREGISTER L 4-6 mittels Symmetriediagramm q 2 q 1 q 0 q 2 q 1 q 0 L 4 L 5 L 6 0 0 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 0 1 0 0 0 1 0 0 1 1 0 1 - - - 0 1 0 - - - L 4 = q 0 q 2 = q 0 + q 2 L 5 = q 2 q 1 = q 2 + q 1 q 1 q 1 q 1 q 0 0 0 0 0 1 0 q 2 q 0 0 0 0 1 0 0 q 0 q 2 0 1 0 0 0 0 q 2 L 6 = q 1 q 0 = q 1 + q 0 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 13

AUFGABE 1 SCHIEBEREGISTER Schaltung mit NORs Statt ezauernder NORs können natürlich auch ANDs verwendet werden L 1 = q 0 q 2 = q 0 + q 2 L 2 = q 1 q 2 = q 1 + q 2 L 3 = q 0 q 1 = q 0 + q 1 L 4 = q 0 q 2 = q 0 + q 2 L 5 = q 2 q 1 = q 2 + q 1 L 6 = q 1 q 0 = q 1 + q 0 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 14

AUFGABE 2 SCHALTWERK Beschreiung Es soll eine synchrone Schaltung mit den Zuständen A, B, C, D entworfen werden. Sie soll ahängig von den Eingangssignalen R (Reset) und V (Vorwärts)* für die Belegung: R = 0, V = 1 R = 0, V = 0 R = 1 den Zyklus A B C D A B - durchlaufen und für den Zyklus A D C B A D - durchlaufen und für unahängig von V in den Zustand A gehen Hinweis: dieses Verhalten ist vollständig spezifiziert * Aus Gründen der Konsistenz wäre hier ein F (Forward) angeracht. Ich interpretiere R nun als Rücksetzen, dann passen die Buchstaen FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 15

AUFGABE 2 SCHALTWERK Schaltnetz o Ausgae ergit sich unmittelar als Funktion der Eingaewerte Schaltwerk o Besitzt als zeitliche Komponente Zustände o Ausgae hängt vom jeweiligen Zustand a Vollständig spezifiziert (v. s.) o Ein Automat ist v. s., wenn jeder Zustand v. s. ist o Ein Zustand ist v.s., wenn das Verhalten für alle möglichen Eingaen spezifiziert ist, d.h. wenn eine Üergangsfunktion für jede Eingae existiert FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 16

AUFGABE 2 SCHALTWERK Zeichnen Sie das Zustandsdiagramm Hinweis: Das ist die visuelle Darstellung eines Automaten FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 17

AUFGABE 2 SCHALTWERK Das Zustandsdiagramm o Zustände (Q): dargestellt durch Kreise mit eindeutiger Bezeichnung i1 o Anfangszustand (q 0 ): dargestellt durch einen Pfeil ohne Quelle i1 o Üergangsfunktion: dargestellt als Zustände verindender Pfeil Eingaekomination wird üer dem Pfeil notiert i1 A + B i1 In Aussagenlogik (Minimierung!) FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 18

AUFGABE 2 SCHALTWERK R = 0, V = 1 den Zyklus A B C D A B - V R R = 0, V = 0 den Zyklus A D C B A D - V R R = 1 R V R + R unahängig von V in den Zustand A gehen V R A B V R + R V R R V R V R D C R V R Wir minimieren noch unsere aussagenlogischen Ausdrücke: R V R + R = V + R V 1 1 1 0 V R + R = V + R V R 1 0 1 1 V R FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 19

AUFGABE 2 SCHALTWERK R = 0, V = 1 R = 0, V = 0 R = 1 R V R + R = V + R A den Zyklus A B C D A B - den Zyklus A D C B A D - unahängig von V in den Zustand A gehen V R B V R + R = V + R R V R V R V R V R R V R D V R V R C FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 20

AUFGABE 2 SCHALTWERK Zeichnen Sie die Automatentafel Hinweis: Das ist die taellarische Darstellung eines Automaten. Wichtig ist das alle möglichen Zustandsüergänge vorkommen FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 21

AUFGABE 2 SCHALTWERK Die Automatentafel Wichtig: Zustände werden codiert Die Eingaemenge muss vollständig sein, d.h. alle Üergangsfunktionen müssen in der Taelle ageildet sein. Hier gilt es geschickt zu selektieren (manche Üergänge lassen sich vereinfachen) ZUSTAND EINGABE 1 EINGABE 2 EINGABE 3 q 0 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 1 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 2 q n+1 für E1 q n+1 für E2 q n+1 für E3 q 3 q n+1 für E1 q n+1 für E2 q n+1 für E3 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 22

AUFGABE 2 SCHALTWERK Zeichnen Sie die Automatentafel Wir haen folgende Üergangsfunktionen: V R, V R, R, V + R, V + R Die letzten eiden Üergänge werden aer durch das R geschluckt (vgl. Primtermselektion) Zustand Codierung V R V R R A (0, 0) D (1,1) B (0,1) A (0,0) B (0, 1) A (0,0) C (1,0) A (0,0) C (1, 0) B (0,1) D (1,1) A (0,0) D (1,1) C (1,0) A (0,0) A (0,0) Ganz genau: R (V/ V) FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 23

AUFGABE 2 SCHALTWERK Realisieren Sie nun die Schaltung mit zwei D-Flipflops und unter ausschließlicher Verwendung von 2:1-Multiplexern. Hinweis: Zuerst die Schaltfunktion estimmen. Dazu die Automatentafel erweitern. Dann mittels Entwicklungssatz nach Shannon in eine Multiplexer-Form ringen FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 24

AUFGABE 2 SCHALTWERK Oktal Zustand R V D 2 D 1 0 0 0 0 0 1 1 1 0 0 0 1 0 1 2/3 0 0 1-0 0 V R V R R Nun ausführlicher wie in der letzten Üung! 4 0 1 0 0 0 0 5 0 1 0 1 1 0 6/7 0 1 1-0 0 10 1 0 0 0 0 1 11 1 0 0 1 1 1 12/13 1 0 1-0 0 V R V R V R V R R R Der nächste Zustand wird durch die eiden D- Flipflops ausgedrückt 14 1 1 0 0 1 0 15 1 1 0 1 0 0 16/17 1 1 1-0 0 V R V R R FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 25

AUFGABE 2 SCHALTWERK Schaltfunktion D 1 Oktal q 2 q 1 R V D 2 0 0 0 0 0 1 1 0 0 0 1 0 2/3 0 0 1-0 4 0 1 0 0 0 5 0 1 0 1 1 6/7 0 1 1-0 10 1 0 0 0 0 11 1 0 0 1 1 12/13 1 0 1-0 14 1 1 0 0 1 15 1 1 0 1 0 16/17 1 1 1-0 R V 1 0 1 0 0 1 0 0 0 0 2 3 0 0 0 0 12 13 10 11 5 7 17 0 1 0 1 15 q 1 4 6 16 14 D 2 = V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 q 2 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 26

AUFGABE 2 SCHALTWERK Schaltfunktion D 2 Oktal q 2 q 1 R V D 1 0 0 0 0 0 1 V 1 0 0 0 1 1 2/3 0 0 1-0 1 1 0 0 0 1 5 4 4 0 1 0 0 0 5 0 1 0 1 0 6/7 0 1 1-0 10 1 0 0 0 1 11 1 0 0 1 1 R 0 0 0 0 2 3 0 0 0 0 12 13 10 11 7 17 1 1 0 0 15 6 16 14 q 2 12/13 1 0 1-0 14 1 1 0 0 0 q 1 15 1 1 0 1 0 16/17 1 1 1-0 D 1 = Rq 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 27

AUFGABE 2 SCHALTWERK Anwenden des Entwicklungssatzes Ein 2:1 Multiplexer differenziert zwischen zwei Eingängen. Mittels des Entwicklungssatzes können Funktionen auf eine 2-Fälle-Form geracht werden: D 2 = V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 + V Rq 1 q 2 nach R = R 0 + R[Vq 1 q 2 + Vq 1 q 2 + Vq 1 q 2 + Vq 1 q 2 ] nach V = R 0 + R[V(q 1 q 2 + q 1 q 2 ) + V(q 1 q 2 + q 1 q 2 )] nach z 0 = R 0 + R V q 1 q 2 + q 1 q 2 + V q 1 q 2 + q 1 q 2 D 1 = Rq 1 = R 0 + R(q 1 ) FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 28

1 0 1 0 1 0 1 0 1 0 AUFGABE 2 SCHALTWERK Realisieren in Hardware D 1 = R 0 + R V q 1 q 2 + q 1 q 2 + V q 1 q 2 + q 1 q 2 D 2 = Rq 1 = R 0 + R(q 1 ) D 2 Q q 2 0 c Q q 2 z 0 V R D 1 Q q 1 0 c Q q 1 Clock FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 29

AUFGABE 3 AUTOMATEN Beschreiung (kurz und knackig) Entwerfen Sie einen endlichen Zustandsautomat (FSM) für eine Armanduhr, der eines von vier internen Registern auf dem Display anzeigt. Die Auswahl des Registers erfolgt durch einen 4:1-Multiplexer, dessen Kontrolleingänge mit s 1 und s 0 ezeichnet werden. Die Register entsprechen den aktuellen Werten der Uhrzeit (s 1 s 0 = 00), der Alarmeinstellung (01), des Datums (10) und der Stoppuhr (11). Durch wiederholtes Drücken des Knopfes soll es möglich sein die vier Register in der oen genannten Reihenfolge zyklisch auszulesen. Gehen Sie davon aus, dass durch Drücken des Knopfes der Wert von synchron zum Takt für eine Taktperiode auf 1 gesetzt wird. Zusätzlich soll der Wechsel des Registers durch einen höraren Ton angezeigt werden, indem der Ausgang p ei jedem Drücken des Knopfes für eine Taktperiode auf 1 gesetzt wird. FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 30

AUFGABE 3 AUTOMATEN Schaltlock eines Automaten o e t : Eingae e zum Zeitpunkt t o s t /s t+1 : Zustand s zum Zeitpunkt und eine Zeiteinheit später o δ: Zustandsfunktion (Gatter vor dem Flipflops (Zustand)) o λ: Ausgaefunktion (Gatter nach dem Flipflops (Zustand)) o a t : Ausgae a zum Zeitpunkt t Automatentypen Mealy: o Ausgae hängt vom jeweiligen Zustand und der Eingae a o a t = λ(e t, s t ) FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 31

AUFGABE 3 AUTOMATEN Automatentypen Moore: o Ausgae hängt alleine vom Zustand a o a t = λ(s t ) o Spezialfall des Mealy-Automaten Medwedew: o Ausgae ist der Zustand selst o a t = s t o D.h. es wird keine Funktion mehr auf den Zustand angewandt, sondern direkt z.b. q 1 ausgegeen FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 32

AUFGABE 3 AUTOMATEN Modellieren Sie den Zustandsautomat als Moore-Automat Hinweis: die Ausgae hängt nur vom Zustand a, nicht von der Eingae Wichtig: o Jeder Zustand wird nur einen Takt angenommen o dann wird ahängig von der Eingae der nächste Zustand aufgesucht FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 33

AUFGABE 3 AUTOMATEN Textzerlegung 4:1-Multiplexer mit s 1 und s 0 Vier Register: Uhrzeit (s 1 s 0 = 00) Alarmeinstellung (01) Datums (10) Stoppuhr (11). Eingae : oen genannten Reihenfolge zyklisch auszulesen (U A D S) Beim Drücken synchron zum Takt für eine Taktperiode auf 1 Ausgang p: ei jedem Drücken () für eine Taktperiode auf 1 (symolisiert Ton) FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 34

AUFGABE 3 AUTOMATEN Zustände Wir haen also schon mal vier Zustände: Uhrzeit (s 1 s 0 = 00) Alarmeinstellung (01) Datums (10) Stoppuhr (11). Zeit 00 Alarm 01 Stopp 11 Datum 10 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 35

AUFGABE 3 AUTOMATEN Üergang (naiv) Eingae : oen genannten Reihenfolge zyklisch auszulesen (U A D S) eim Drücken synchron zum Takt für eine Taktperiode auf 1 Zeit 00 Alarm 01 Stopp 11 Datum 10 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 36

AUFGABE 3 AUTOMATEN Ausgae Ausgae p: ei jedem Drücken () für eine Taktperiode auf 1 Moore edeutet: Ausgae hängt nur vom Zustand a d.h. jeder Zustand legt die Ausgae fest Zeit 00 Alarm 01 Prolem: Jeder Zustand müsste erst 1 und einen Takt später 0 ausgeen -> geht nicht Stopp 11 Datum 10 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 37

AUFGABE 3 AUTOMATEN Ausgae Ausgae p: ei jedem Drücken () für eine Taktperiode auf 1 Lösung: S2 11 P = 1 Zwischenzustände, die nur einen Takt angenommen werden * Zeit 00 P = 0 Stopp 11 P = 0 * Z2 00 P = 1 D2 10 P = 1 * Alarm 01 P = 0 Datum 10 P = 0 * A2 01 P = 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 38

AUFGABE 3 AUTOMATEN Modellieren Sie den Zustandsautomat als Mealy-Automat Hinweis: die Ausgae hängt nur vom Zustand und der Eingae a Wichtig: o Jeder Zustand wird nur einen Takt angenommen o dann wird ahängig von der Eingae der nächste Zustand aufgesucht FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 39

AUFGABE 3 AUTOMATEN Werfen wir einen Blick auf den Moore-Automaten Die Ausgae eim Mealy-Automaten hängt von der Zustandsüergangsfunktion a und wird deshal auch dort notiert (nicht im Zustand). Wir sparen uns die Zwischenzustände. S2 11 P = 1 * Zeit 00 P = 0 Stopp 11 P = 0 * Z2 00 P = 1 D2 10 P = 1 * Alarm 01 P = 0 Datum 10 P = 0 * A2 01 P = 1 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 40

AUFGABE 3 AUTOMATEN Eliminieren der Zwischenzustände /00 p = 0 /01 p = 0 Zeit /00 p = 1 Alarm Stopp /11 P = 1 /10 p = 1 Datum /01 P = 1 /11 p = 0 /10 p = 0 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 41

AUFGABE 3 AUTOMATEN Welche Vorteile ietet die Realisierung des Zustandsautomats als Mealy- Automat und welche potentielle Proleme müssen eachtet werden? Hinweis: ist das Zeitverhalten gewährleistet? FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 42

AUFGABE 3 AUTOMATEN Welche Vorteile ietet die Realisierung des Zustandsautomats als Mealy- Automat und welche potentielle Proleme müssen eachtet werden? Vorteil: wir rauchen vier statt acht Zustände (weniger Flipflops) Nachteil: eventuelle Timing-Proleme ei der Ausgae FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 43

VIELEN DANK FÜR DIE SCHMEICHELNDE AUFMERKSAMKEIT Ao om varão (sic!), terras alheias pátria são. Strauss, Emanuel (1994) Dictionary of European provers (Volume 2 ed.). Routledge. p. 882 FRIEDRICH-ALEXANDER UNIVERSITÄT ERLANGEN-NÜRNBERG JAN SPIECK 44