Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Größe: px
Ab Seite anzeigen:

Download "Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)"

Transkript

1 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect transistor) in Abb b) Zeichnen Sie qualitativ eine Ausgangkennlinie in Abb. 1.2 (mit Achsenbeschriftung!). Abb. 1.1: Schaltsymbole für n-kanal- und p-kanal-mosfet Abb. 1.2: Qualitative Ausgangkennlinie des MOSFETs Integrierte Digitalschaltungen H08 - Seite 1 von 15

2 1 1.2 CMOS (Complementary Metal Oxide Semiconductor) Schaltungen bestehen üblicherweise aus zwei Teilfunktionen (ein sogenanntes Pull-up-Netzwerk und ein sogenanntes Pull-down-Netzwerk) wie in Abb a) Bezeichnen Sie die Transistortypen (PMOS oder NMOS) für jede Teilfunktion in Abb. 1.3 b) Verbinden Sie die zwei Teilfunktionen in Abb. 1.3 zu einer CMOS-Schaltung. Verwenden Sie dafür folgende Anschlüsse (Vcc, GND, Input, Output). Pull-up-Netzwerk MOS Pull-down-Netzwerk MOS Abb. 1.3: CMOS Schaltung 1.3 Welchen Vorteil hat ein Transmission Gate gegenüber einem Pass-Transistor? Integrierte Digitalschaltungen H08 - Seite 2 von 15

3 1 CMOS-Inverter 1.4 Skizzieren Sie das Schaltbild des CMOS-Inverters auf Transistorebene. Geben Sie auch die Wahrheitstabelle an. 1.5 Ergänzen Sie den Querschnitt des CMOS-Inverters in Abb Geben sie die Dotierungen (n, p, n+, p+) an und beschriften Sie alle Anschlüsse (G, D, S, U DD, U SS, U E, U A ). p-substrat -kanal -kanal Abb. 1.4: CMOS-Inverter Querschnitt 1.6 Die Kenndaten eines Inverters sind wie folgt gegeben: Versorgungsspannung: U DD = 1.0 V Schwellenspannungen: U th,n = U th,p = 0.4 V Eingangskapazität des Inverters: C ein = 4.5 ff eff. wirksame Ausgangskapazität des Inverters: C aus = 3 ff Transistorkenngröße: k n = k p = 2 ma V 2 Lastkapazität: C FO = 45 ff a) Der Inverter treibt nun die Lastkapazität C L = C aus + C FO. Berechnen Sie die Verzögerungszeit t p! Integrierte Digitalschaltungen H08 - Seite 3 von 15

4 1 Zur Reduzierung der Verzögerungszeit wird ein zusätzlicher Inverter entsprechend Abb. 1.5 eingefügt, dessen Transistorweiten um den Faktor α skaliert sind. 1 α C FO Abb. 1.5: Inverterkette zum Treiben von C FO b) Geben Sie die Verzögerungszeit des 1. Inverters t p1 in Abhängigkeit von α an! c) Geben Sie die Verzögerungszeit des 2. Inverters t p2 in Abhängigkeit von α an! d) Dimensionieren Sie α so, dass die gesamte Verzögerungszeit minimiert wird (Extremwertproblem)! Geben Sie t p der Gesamtschaltung an! Integrierte Digitalschaltungen H08 - Seite 4 von 15

5 2 Aufgabe 2: CMOS-Logik (25 Punkte) Die Aufgaben punkte 2.1 und 2.2 können unabhängig voneinander gelöst werden. 2.1 In Abb. 2.1 a) ist ein Schaltbild auf Transistorebene dargestellt. Die Funktion, die diese Schaltung erfült, ist in Abb. 2.1 b) gegeben. Abb. 2.1: Schaltbild und Wahrheitstabelle a) Wie wird die Schaltungstechnik in 2.1 a) genannt? b) Bestimmen Sie die Funktionsgleichung Q = f(a, B, C)! c) Bestimmen Sie Q für C = 0 und C = 1. Wie wird die Funktion diese Schaltung genannt? d) Ergänzen Sie die Wahrheitstabelle in 2.1 b)! e) Bestimmen Sie die Funktionsgleichung Q = f(a,b,c)! Hinweis: XY + XZ + YZ = XY + XZ f) Zeichnen Sie ein die Funktion in DCVSL Technik auf Transistorebene! Integrierte Digitalschaltungen H08 - Seite 5 von 15

6 2 2.2 Gegeben ist die CMOS-Logik Schaltung in Abbildung 2.2. Bei der Berechnung der Umladezeiten gelten für den Transistoren folgende Beziehungen: C L = 25 ff C GS,n = C GD,n = C DB,n = C SB,n = 1 2 C ox,n C GS,p = C GD,p = C DB,p = C SB,p = 1 2 C ox,p R p = R n = 350 Ω a) Bestimmen Sie die Funktionsgleichung Q = f(a, B, C, D)! b) Zeichnen Sie einen möglichen Pfad für den ungünstigsten Entladenvorgang von Q ein! Begründen Sie Ihre antwort! c) Welche logischen Pegel liegen an den Knoten Q, K1, K2 und K3 für den Zustand ((ABCD) = (1101)) und ((ABCD) = (0011)) an? d) Zeichnen Sie das RC-Baumdiagramm für den Schaltzustand (0011)! e) Geben Sie die effektiv wirksamen Kapayitäten C Q, C K1, C K2 und C K3 and den Knoten Q, K1, K2, K3 als Funktion von C ox,p,n und C L an, die bei einem Zustadwechsel von (1101) auf (0011) umbeladen werden. Hinweis: Berücksichtigen Sie den Miller-Effekt! f) Berechnen Sie die AnstiegsZeit t r für den Zustandswechsel aus Aufgabenteil 2.1 e) mit Hilfe der Elmore-Gleichung C ox,p = 3 C ox,n = 6 ff! Das pull-up Netzwerk aus Abbildung 2.2 wird nun durch einen PMOS-Transistor ersetzt. g) Wie wird diese Schaltungstechnik gennant? h) Geben Sie einen Vorteil und einen Nachteil dieser Technik hat gegenüber CMOS- Logik an! Integrierte Digitalschaltungen H08 - Seite 6 von 15

7 2 VDD = 1.5 V A C B D A D K 1 Q C K 2 A C L A D GND B K 3 D GND Abb. 2.2: Schaltbild Integrierte Digitalschaltungen H08 - Seite 7 von 15

8 3 Aufgabe 3: Schaltwerke und Speicher (25 Punkte) 3.1 In diesem Aufgabenpunkt wird ein Grundbaustein von Schaltwerken näher betrachtet: das Flip-Flop (FF). a) Beschreiben Sie die Flip-Flop Zeitparameter t su, t hold und t c q! b) Zeichnen Sie in Abb. 3.1 ein Flip-Flop auf Transistorebene in Clocked CMOS Technik! Abb. 3.1: Clocked CMOS Flip-Flop c) Welches Problem, das beim statischen CMOS FF existiert, ist mit dem Clocked CMOS FF gelöst? Begründen Sie Ihre Antwort! d) Flip-Flops werden in digitalen Schaltungen beim Pipelining eingesetzt. Was ist der Vorteil vom Pipelining? e) Die Schaltung in Abb. 3.2 verwendet drei 4-bit Register für das Pipelining. Wie viele Pipeliningstufen hat diese Schaltung? Integrierte Digitalschaltungen H08 - Seite 8 von 15

9 VDD S R Q Q Aufgabe 3 D f) Die Schaltung unterstützt eine Bitrate von 500 Mbit/s. Berechnen Sie die Taktperiode in diesem Fall! g) Die Zeitparameter der Register und die Verarbeitungszeiten der Logikelemente L1 bis L6 aus Abb. 3.2 sind nun wie folgt gegeben: t su = 0,6 ns t h = 0,2 ns t c q = 0,3 ns L1 = 2 ns L2 = 4 ns L3 = 1 ns L4 = 1 ns L5 = 1 ns L6 = 2 ns Berechnen Sie die maximale Frequenz der Schaltung! Q X 4 L1 L3 L2 L3 L4 L5 L6 4 Y ClK Abb. 3.2: Schaltbild h) Berechnen Sie die dynamische Verlustleistung, wenn die Schaltung eine durchschnittliche Kapazität von 2 pf und eine Versorgungsspannung U DD = 3 V hat. Die Schaltung wird mit einer Frequenz von 126,5 MHz betrieben. Die Schaltwahrscheinlichkeit der Gatter beträgt 0,5. i) Nun soll durch die Einführung einer neuen Pipeliningstufe die Frequenz der Schaltung maximiert werden. Wo muss das Register eingefügt werden? Was ist die resultierende Betriebsfrequenz? Integrierte Digitalschaltungen H08 - Seite 9 von 15

10 3 3.2 Dieser Augabenpunkt beschäftigt sich mit verschiedenen Speicherarten. a) Wie erhält ein Nur-Lese-Speicher (Read-Only Memory, ROM) seine Information? b) Warum muss beim Entwurf einer SRAM-Zelle die sogenannte Cell-Ratio CR und Pull-UP-Ratio PR berücksichtigt werden? c) Geben Sie den Hauptvorteil des DRAMs gegenüber des SRAMs an! 3.3 Gegeben ist nun in die Abb. 3.3 dargestellte Speicherarchitektur mit einem 4x4 Bit DRAM-Zellenfeld. Die Address-Bits A 0 bis A 4 wählt eine Zelle zum Lesen oder Schreiben. GND C s Abb. 3.3: Speicherarchitektur a) Was für ein Funktionsblock wird neben einem Zeilen- und Spaltendekoder zum Auslesen einer DRAM-Zelle sonst noch benötigt und ist in der Abb. 3.3 nicht explizit eingezeichnet? b) Bestimmen Sie die Funktionen WL 0 = f(a 0, A 1 ) bis WL 3 = f(a 0, A 1 )! c) Zeichnen Sie die DRAM Zelle in Abb. 3.3 d) Es soll eine auf C S gespeicherte logische 1 (U S = U DD ) ausgelesen werden. Dazu wird vor der Auswahl der Zelle die zum Auslesen verwendete Leitung vorgeladen. Aufgrund von Fertigungstoleranzen geschieht die Vorladung allerdings nur auf 0,4 U DD statt auf 0,5 U DD. Die Leitungskapazität beträgt C Ltg = 500 ff. Dimensionieren Sie die Speicherkapazität C S nun so, dass das Potential der Leitung beim Auslesen auf 0,6 U DD ansteigt und somit eine sichere Detektion der logischen 1 ermöglicht! Integrierte Digitalschaltungen H08 - Seite 10 von 15

11 3 e) Dynamische RAM-Zellen besitzen den Nachteil, dass die Speicherkapazitäten durch Leckströme entladen werden. Berechnen Sie für einen Leckstrom von I Leck = 5 pa das Zeitintervall t, nach dem der Zelleninhalt spätestens erneuert werden muss (Refresh), d.h. U S = U DD = 3,3 V auf U S = 2/3 U DD gesunken ist. Hinweis: Falls Sie die Speicherkapazität C S nicht berechnet haben, können Sie den Wert C S = 300 ff verwenden. f) Angenommen der Spaltendekoder besteht aus 8 Transistoren und der Zeilendekoder aus der doppelten Anzahl. Wie hoch ist der Kontroll-Aufwand (in Prozent) in diesem Speicher? Integrierte Digitalschaltungen H08 - Seite 11 von 15

12 4 Aufgabe 4: VHDL und Hochintegration (20 Punkte) 4.1 Kreuzen Sie zutreffendes an (falsch gesetzte Kreuze führen zu Punktabzug): WAHR FALSCH VHDL ist eine Sprache zur Beschreibung digitaler Schaltungen. VHDL steht für Verified Hardware Description Language. Synthese ist die Übersetzung einer VHDL-Beschreibung in eine Netzliste, die die Struktur der Hardware beschreibt. Bei dem Datentyp std_logic steht das Z für Hochohmig und das U für Niederohmig. In VHDL können alle synthetisierbaren Designs auch simuliert werden. SystemC ist eine C++-Klassenbibliothek plus Simulationskernel zur Beschreibung von Hardware. Eine VHDL-Beschreibung besitzt immer eine Entity. Eine architecture besitzt maximal einen Prozess. Das Einbinden von Komponenten ist ein wesentliches Merkmal der Verhaltensbeschreibung. Eine Verhaltensbeschreibung wird ausschließlich bei der Erstellung einer Testbench verwendet. Der Datentyp std_logic besitzt mehr Zustände als der Datentyp bit und eignet sich daher besser zur Modellierung elektronischer Schaltungen. Das Schlüsselwort generic kann in der entity zum Erzeugen von parametrisierten Komponenten verwendet werden. Integrierte Digitalschaltungen H08 - Seite 12 von 15

13 4 4.2 Geben Sie für die folgenden VHDL-Code-Ausschnitte das Ergebnis für das Signal d an: a) architecture verhalten of logik is signal a, b, c : std_logic; begin a <= 1 ; b <= 0 ; c <= 1 ; d <= (a xor b) and c; end verhalten; Antwort: d = b) architecture verhalten of logik is signal a, b, c : std_logic; begin c <= 0 ; b <= not(a); a <= c; d <= not(b); end verhalten; Antwort: d = c) architecture verhalten of logik is signal a, b, c : std_logic; begin a <= 1 ; b <= 0 ; c <= a xor b; process (a,b,c) begin if (a =b) then d <= c; elsif (a = c) then d <= not(b); else d <= not(a); end if; end process; end verhalten; Antwort: d = Integrierte Digitalschaltungen H08 - Seite 13 von 15

14 4 4.3 Gegeben ist die folgende VHDL-Beschreibung mit dem Ausgangsport d. Der Initialwert des Signals a ist U. Lesen Sie den Code sorgfältig und kreuzen Sie zutreffendes an (falsch gesetzte Kreuze führen zu Punktabzug): Hinweis: Beachten Sie, dass es sich bei a um ein Signal und nicht um eine Variable handelt! architecture verhalten of logik is signal a : std_logic; begin process (a) begin a <= 1 ; if (a = 1 ) then d <= 0 ; else a <= 0 ; d <= 1 ; end if; end process; WAHR FALSCH Wenn der Code ausgeführt wird, ist die Abfrage if (a = 1 ) wahr. Wenn der Code ausgeführt wird, ist der endgültige Wert des Signals a eine logische 0. Wenn der Code ausgeführt wird, ist der endgültige Wert des Ausgangs d eine logische In einem Fahrzeug-Kontrollsystem gibt es vier Sensoren A, B, C und D, die die korrekte Funktion oder eine Fehlfunktion von vier elektronischen Modulen anzeigen. Wenn ein Modul korrekt arbeitet, gibt der Sensor eine logische 0 aus und eine logische 1, wenn das Modul fehlerhaft ist. Sie modellieren nun einen Teil des Kontrollsystems, das die vier Sensoren überwacht und drei Ausgangssignale generiert. Das erste Signal OK soll logisch 1 sein, wenn keines der Module fehlerhaft ist. Das zweite Signal FAULT soll logisch 1 sein, wenn eins oder mehre Module fehlerhaft sind. Das dritte Signal FAILURE soll logisch 1 sein, wenn alle Module fehlerhaft sind. Integrierte Digitalschaltungen H08 - Seite 14 von 15

15 4 a) Geben Sie die entity für den oben beschriebenen Teil des Kontrollsystems an. entity CarControl is end CarControl; b) Geben Sie die architecture in Datenflussbeschreibung für den oben beschriebenen Teil des Kontrollsystems an. architecture verhalten of CarControl is begin end verhalten; Integrierte Digitalschaltungen H08 - Seite 15 von 15

16 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) siehe Abb.1.1. b) siehe Abb.1.2. Abb. 1.1: Schaltsymbole für n-type und p-type von MOS-FET Abb. 1.2: Qualitativ Ausgangkennlinie Integrierte Digitalschaltungen H08 - Seite 1 von 13

17 1 1.2 a) siehe Abb.1.3. b) siehe Abb.1.3. Vcc Pull-up-Netzwerk (nur PMOS) Input Output Pull-down-Netzwerk (nur NMOS) GND Abb. 1.3: CMOS Shaltung 1.3 Mit einem Transmission Gate können sowohl die logische 1 als auch die logische 0 ohne Schwellenspannungsverluste übertragen werden. Integrierte Digitalschaltungen H08 - Seite 2 von 13

18 1 CMOS-Inverter 1.4 siehe Abb.1.4 Abb. 1.4: Schaltbild eines CMOS-Inverters auf Transistorebene und die Wahrheitstabelle 1.5 siehe Abb.1.5 S(U p DD) G(U) S n(gnd) p E D(U) p A D(U) n A G(U) n E p+ p+ n+ n+ p -kanal Abb. 1.5: CMOS-Inverter Querschnitt p-substrat n -kanal Integrierte Digitalschaltungen H08 - Seite 3 von 13

19 1 1.6 a) R eq = 1 k(u DD U th,n ) = 833 Ω t p = 0,69R eq (C aus + C FO ) = 27,6 ps b) t p1 = 0,69 R eq (C aus + α C ein ) c) t p2 = 0,69 Req α (αc aus + C FO ) d) t p = 0,69 R eq (αc ein + 2C aus + C FO α ) dt p dα = 0,69 R eq(c ein C FO α ) = 0 2 CFO α = = 3,16 C ein t p = 19,8 ps Integrierte Digitalschaltungen H08 - Seite 4 von 13

20 2 Aufgabe 2: CMOS-Logik (25 Punkte) 2.1 a) Pass-Transistor Logik b) Q = (A C + BC) c) Wenn C = 0, Q = A Wenn C = 1, Q = B Die Funktion ist 1-bit Multiplexer d) Siehe Abb. 2.1 a) e) Siehe Abb. 2.1 b) Abb. 2.1: Wahrheitstabelle und DCVSL Schaltung 2.2 a) Q = (C + B + A D)(A + D) Q = A C + A B + A D + C D + B D b) Tn,C Tn,A Tn,B GND Oder: Tn,C Tn,D Tn,B GND Grund: weil die gesamte resistance Größeste ist. c) Siehe Abb. 2.2 a) (ABCD)=(1101) : Q = 0, K 1 = 1, K 2 = 0 und K 3 = 0 (ABCD)=(0011) : Q = 1, K 1 = 1, K 2 = 1 und K 3 = 1 Integrierte Digitalschaltungen H08 - Seite 5 von 13

21 2 d) Siehe Abb. 2.2 b) e) C K1 = C GD,pC + C GD,pB + C GS,pA = 3 0,5 C ox,p = 1,5 C ox,p C K2 = C SB,nC + 2 C GD,nA + C DB,nA + C GD,nD + C DB,nD = 3 C ox,n C K3 = (2 C GS,nA + C SB,nA ) + (C GS,nD + C SB,nD ) + (2 C GD,nB + C DB,nB ) = 4 C ox,n C Q = (2 C GD,pA + C DB,pA ) + (C GD,pD + C DB,pD ) + (C DB,nC + 2 C GD,nA + C DB,nA ) + C L = 5 0,5 C ox,p + 4 0,5 C ox,n + C L = 2,5 C ox,p + 2 C ox,n + C L f) C K1 = 13,5 ff C K2 = 9 ff C K3 = 8 ff C Q = 53,5 ff t r = 2,2 τ p = 2,2 [C K1 R p,b + (R p,b + R p,a ) (C Q + C K2 + C K3 )] = 2,2 [13,5 ff 350 Ω + 70,5 ff 700 Ω] 119 ps g) Pseudo NMOS-Logik h) Vorteil gegenüber CMOS: geringerer Bedarf an Chip Fläche Nachteil gegenüber CMOS: Statische Verlustleistung VDD = 1.5 V VDD C B 1 0 A 1 1 D R p,b C k A D K Q R p,a GND Q 0 1 C A D 0 1 K A GND C L R n,c C L GND K 3 B D 0 1 R n,d C k2 GND GND GND C k3 a) b) Abb. 2.2: Schaltbild Integrierte Digitalschaltungen H08 - Seite 6 von 13

22 3 Aufgabe 3: Schaltwerke und Speicher (25 Punkte) 3.1 a) t su (Set-up-Zeit): Daten müssen vor dem Erscheinen der Takflanke bereits anliegen. t hold (Haltezeit): Daten müssen auch nach dem Erscheinen der Takflanke anliegen. t c q (Verzögerungszeit): Die richtige Übernahme erfolgt mit der Verzögerungszeit relativ zur positiven Taktflanke. b) Siehe Abb. 3.1 c) Beim statischen FF führt ein Überlapp von φ und φ zur vollständigen Transparenz: Eingang D ist direkt mit Ausgang Q verbunden. d) Mit Pipelining kann der Durchsatz erhöht werden. e) zwei C L C L Abb. 3.1: Clocked CMOS Flip-Flop 500 Mbit/s f) Frequenz = = 125 MHz 4 bit T clk = 1/(125 MHz) = 8 ns g) T clk t c q + T logik + t su T clk,min = 0,9 ns + T logik,critical = 0,9 ns + (L 1 + L 2 ) T clk,min = 0,9 ns + 6 ns = 6,9 ns d.h. f max = 1/(6,9 ns) = 144,9 MHz Integrierte Digitalschaltungen H08 - Seite 7 von 13

23 3 h) P = α C f U 2 P = 0,5 2 pf 126,5 MHz 3 2 P = 1,14 mw i) Um die maximale Frequenz zu erreichen, muss das neue Register (R4) zwischen L 1 und L 2 platziert werden, wodurch T logik,critical minimiert wird. T logik,critical = L 2 = 4 ns und f max = 1/(t c q + T logik,critical + t su ) = 1/(4,9 ns) = 204 MHz 3.2 a) Maskenprogrammierung bei der Fertigung, z.b. durch Kurzschluss von Transistoren durch Metallisierung. b) Damit der logische Wert der Zelle beim Auslesen nicht umkippen kann bzw. damit der Zelle beim Schreibvorgang ein neuer Logikwert eingeprägt werden kann. c) DRAM benötigt weniger Chip-Fläche als SRAM. 3.3 a) Es wird noch ein Lese-(Schreib)-Verstärker benötigt. b) WL 0 = A 0 A 1 WL 1 = A 0 A 1 WL 2 = A 0 A 1 WL 3 = A 0 A 1 c) siehe Abb. 3.2 Abb. 3.2: Dynamic RAM Integrierte Digitalschaltungen H08 - Seite 8 von 13

24 3 d) Vor dem Auslesen befindet sich auf der Speicherkapazität die Ladung C S U DD und auf der Leitungskapazität die Ladung C Ltg 0,4 U DD. Beim Auslesen findet ein Ladungsausgleich zwischen den Kapazitäten statt, wobei die Gesamtladung erhalten bleibt: (C S + C Ltg ) U Ltg,neu = C S U DD + C Ltg 0,4 U DD Es soll gelten : U Ltg,neu = 0,6 U DD U Ltg,neu = C S U DD + C Ltg 0,4 U DD C S + C Ltg = 0,6 U DD C S + C Ltg 0,4 C S + C Ltg = 0,6 C S + C Ltg 0,4 = 0,6 (C S + C Ltg ) C S = 0,2/0,4 C Ltg = 250 ff e) t = C S UDD I Leck 3 = 55 ms wenn C s = 300 ff, dann t = 66 ms f) Fläche für Kontrollschaltungen (Zeilen- und Spaltendekoder): = 24 Transistoren Fläche für Speicher: 16 Transistoren Kontroll-Aufwand = 24/( ) = 0,6 = 60% Integrierte Digitalschaltungen H08 - Seite 9 von 13

25 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) 4.1 Kreuzen Sie zutreffendes an (falsch gesetzte Kreuze zu Punktabzug): WAHR FALSCH VHDL ist eine Sprache zur Beschreibung gigitaler schaltungen. VHDL steht für Verified Hardware Description Language. Synthese ist die übersetzung einer VHDL-Beschreibung in eine Netzliste, die die Struktur der Hardware beschreibt. Bei dem Datentyp std_logic steht das Z für Hochohmig und das U für Niederohmig. In VHDL können alle synthetisierbaren Designs auch simuliert werden. SystemC ist eine C++-Klassenbibliothek plus Simulationskernel zur Beschreibung von Hardware. Eine VHDL-Beschreibung besitzt immer eine Entity. Eine architecture besitzt maximal einen Prozess. Das Einbinden von Komponenten ist ein wesentliches Merkmal der Verhaltensbeschreibung. Eine Verhaltensbeschreibung wird ausschließlich bei der Erstellung einer Testbench verwendet. Der Datentyp std_logic besitzt mehr Zustände als der Datentyp bit und eignet sich daher besser zur Modellierung elektronischer Schaltungen. Das Schlüsselwort generic kann in der entity zum Erzeugen von parametrisierten Komponenten verwendet werden. Integrierte Digitalschaltungen H08 - Seite 10 von 13

26 4 4.2 Geben Sie für die folgenden VHDL-Code-ausschrifte das Ergebnis für das Signal d an: a) architecture verhalten of logik is signal a, b, c : std_logic; begin a <= 1 ; b <= 0 ; c <= 1 ; d <= (a xor b) and c; end verhalten; Antwort: d = 1 b) architecture verhalten of logik is signal a, b, c : std_logic; begin c <= 0 ; b <= not(a); a <= c; d <= not(b); end verhalten; Antwort: d = 0 c) architecture verhalten of logik is signal a, b, c : std_logic; begin a <= 1 ; b <= 0 ; c <= a xor b; process (a,b,c) begin if (a =b) then d <= c; elsif (a = c) then d <= not(b); else d <= not(a); end if; end process; end verhalten; Antwort: d = 1 Integrierte Digitalschaltungen H08 - Seite 11 von 13

27 4 4.3 Gegeben ist die folgende VHDL-Beschreibung mit dem Ausgangsport d. Der Initialwert des Signals a ist U. Lesen Sie den Code sorgfältig und kreuzen Sie zutreffendes an (falsch gesetzte Kreuze führen zu Punktabzug): Hinweis: Beachten Sie, dass es sich bei a um ein Signal und nicht um eine Variable handelt! architecture verhalten of logik is signal a : std_logic; begin process (a) begin a <= 1 ; if (a = 1 ) then d <= 0 ; else a <= 0 ; d <= 1 ; end if; end process; WAHR FALSCH Wenn der Code ausgeführt wird, ist die Abfrage if(a= 1 ) wahr. Wenn der Code ausgeführt wird, ist der endgültige wert der signal a 0. Wenn der Code ausgeführt wird, ist der endgültige wert der Ausgang d In einem Auto-Kontrollsystem gibt es vier Sensoren A, B, C und D die die korrekte Funktion oder eine Fehlfunktion von vier elektronischen Modulen anzeigen. Wenn ein Modul korrekt arbeitet, gibt der Sensor eine logische 0 aus und eine logische 1, wenn das Modul fehlerhaft ist. Sie modellieren nun einen Teil des Kontroll-systems, das die vier Sensoren über wacht und drei Ausgangssignale generiert. Das erste Signal OK soll logisch 1 sein, wenn keines der Module fehlerhaft ist. Das zweite Signal FAULT soll logisch 1 sein, wenn eins oder mehre Module fehlerhaft sind. Das dritte signal FAILURE sol logisch 1 sein, wenn alle Module fehlerhaft sind. a) Geben Sie die entity für den oben beschreibenen Teil des Kontrollsysems an. entity CarControl is port (A, B, C, D : in std_logic; ok, fault, failure : out std_logic); end CarControl; Integrierte Digitalschaltungen H08 - Seite 12 von 13

28 4 b) Geben Sie die architecture in Datenflussbeschreibung für den oben beschreibenen teil des Kontrollsystems an. architecture verhalten of CarControl is begin ok <= NOT(A OR B OR C OR D); fault <= A OR B OR C OR D; failure <= A AND B AND C AND D; end verhalten; Integrierte Digitalschaltungen H08 - Seite 13 von 13

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

B 2. " Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!!

B 2.  Zeigen Sie, dass die Wahrscheinlichkeit, dass eine Leiterplatte akzeptiert wird, 0,93 beträgt. (genauerer Wert: 0,933).!:!! Das folgende System besteht aus 4 Schraubenfedern. Die Federn A ; B funktionieren unabhängig von einander. Die Ausfallzeit T (in Monaten) der Federn sei eine weibullverteilte Zufallsvariable mit den folgenden

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Internet online Update (Mozilla Firefox)

Internet online Update (Mozilla Firefox) Um Ihr Consoir Beta immer schnell und umkompliziert auf den aktuellsten Stand zu bringen, bieten wir allen Kunden ein Internet Update an. Öffnen Sie Ihren Mozilla Firefox und gehen auf unsere Internetseite:

Mehr

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!.

Würfelt man dabei je genau 10 - mal eine 1, 2, 3, 4, 5 und 6, so beträgt die Anzahl. der verschiedenen Reihenfolgen, in denen man dies tun kann, 60!. 040304 Übung 9a Analysis, Abschnitt 4, Folie 8 Die Wahrscheinlichkeit, dass bei n - maliger Durchführung eines Zufallexperiments ein Ereignis A ( mit Wahrscheinlichkeit p p ( A ) ) für eine beliebige Anzahl

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen:

Erweiterung der Aufgabe. Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: VBA Programmierung mit Excel Schleifen 1/6 Erweiterung der Aufgabe Die Notenberechnung soll nicht nur für einen Schüler, sondern für bis zu 35 Schüler gehen: Es müssen also 11 (B L) x 35 = 385 Zellen berücksichtigt

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

LTSpice Tutorial 2: Eigene Modelle und Symbole

LTSpice Tutorial 2: Eigene Modelle und Symbole LTSpice Tutorial 2: Eigene Modelle und Symbole Vorhandenes Symbol, eigenes Modell, Modell in Einzeldatei Der Baustein «LM336» ist eine 2.5 V Zener-Spannungsreferenzdiode mit einem Justiereingang: Die Zenerdiode

Mehr

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren

Lineargleichungssysteme: Additions-/ Subtraktionsverfahren Lineargleichungssysteme: Additions-/ Subtraktionsverfahren W. Kippels 22. Februar 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Lineargleichungssysteme zweiten Grades 2 3 Lineargleichungssysteme höheren als

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Anleitung über den Umgang mit Schildern

Anleitung über den Umgang mit Schildern Anleitung über den Umgang mit Schildern -Vorwort -Wo bekommt man Schilder? -Wo und wie speichert man die Schilder? -Wie füge ich die Schilder in meinen Track ein? -Welche Bauteile kann man noch für Schilder

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge

Nerreter, Grundlagen der Elektrotechnik Carl Hanser Verlag München. 8 Schaltvorgänge Carl Hanser Verlag München 8 Schaltvorgänge Aufgabe 8.6 Wie lauten für R = 1 kω bei der Aufgabe 8.1 die Differenzialgleichungen und ihre Lösungen für die Spannungen u 1 und u 2 sowie für den Strom i? Aufgabe

Mehr

Arbeiten mit UMLed und Delphi

Arbeiten mit UMLed und Delphi Arbeiten mit UMLed und Delphi Diese Anleitung soll zeigen, wie man Klassen mit dem UML ( Unified Modeling Language ) Editor UMLed erstellt, in Delphi exportiert und dort so einbindet, dass diese (bis auf

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014)

Handbuch. NAFI Online-Spezial. Kunden- / Datenverwaltung. 1. Auflage. (Stand: 24.09.2014) Handbuch NAFI Online-Spezial 1. Auflage (Stand: 24.09.2014) Copyright 2016 by NAFI GmbH Unerlaubte Vervielfältigungen sind untersagt! Inhaltsangabe Einleitung... 3 Kundenauswahl... 3 Kunde hinzufügen...

Mehr

Installationsanleitung für Update SC-Line 2010.3

Installationsanleitung für Update SC-Line 2010.3 SYSTEMVORAUSSETZUNGEN SC-Line 2010.3 benötigt für den Betrieb Windows 2000, Windows XP, Windows Vista - (32Bit und 64Bit), Windows 7 - (32Bit und 64Bit), Windows Server 2003, Windows Server 2008 - (32Bit

Mehr

A. Ersetzung einer veralteten Govello-ID ( Absenderadresse )

A. Ersetzung einer veralteten Govello-ID ( Absenderadresse ) Die Versendung von Eintragungsnachrichten und sonstigen Nachrichten des Gerichts über EGVP an den Notar ist nicht möglich. Was kann der Notar tun, um den Empfang in seinem Postfach zu ermöglichen? In zahlreichen

Mehr

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice

easyident Türöffner easyident Türöffner Art. Nr. FS-0007 FS Fertigungsservice easyident Türöffner Art. Nr. FS-0007 Wir freuen uns, das sie sich für unser Produkt easyident Türöffner, mit Transponder Technologie entschieden haben. Easyident Türöffner ist für Unterputzmontage in 55mm

Mehr

PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN

PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN PTV VISWALK TIPPS UND TRICKS PTV VISWALK TIPPS UND TRICKS: VERWENDUNG DICHTEBASIERTER TEILROUTEN Karlsruhe, April 2015 Verwendung dichte-basierter Teilrouten Stellen Sie sich vor, in einem belebten Gebäude,

Mehr

Zahlenwinkel: Forscherkarte 1. alleine. Zahlenwinkel: Forschertipp 1

Zahlenwinkel: Forscherkarte 1. alleine. Zahlenwinkel: Forschertipp 1 Zahlenwinkel: Forscherkarte 1 alleine Tipp 1 Lege die Ziffern von 1 bis 9 so in den Zahlenwinkel, dass jeder Arm des Zahlenwinkels zusammengezählt das gleiche Ergebnis ergibt! Finde möglichst viele verschiedene

Mehr

Daten sammeln, darstellen, auswerten

Daten sammeln, darstellen, auswerten Vertiefen 1 Daten sammeln, darstellen, auswerten zu Aufgabe 1 Schulbuch, Seite 22 1 Haustiere zählen In der Tabelle rechts stehen die Haustiere der Kinder aus der Klasse 5b. a) Wie oft wurden die Haustiere

Mehr

Lehrer: Einschreibemethoden

Lehrer: Einschreibemethoden Lehrer: Einschreibemethoden Einschreibemethoden Für die Einschreibung in Ihren Kurs gibt es unterschiedliche Methoden. Sie können die Schüler über die Liste eingeschriebene Nutzer Ihrem Kurs zuweisen oder

Mehr

Abiturprüfung Mathematik 2008 (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe 1

Abiturprüfung Mathematik 2008 (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe 1 Abiturprüfung Mathematik (Baden-Württemberg) Berufliche Gymnasien ohne TG Analysis, Aufgabe Für jedes t f t () + t R ist die Funktion f t gegeben durch = mit R. Das Schaubild von f t heißt K t.. (6 Punkte)

Mehr

Die Näherung durch die Sekante durch die Punkte A und C ist schlechter, da der Punkt C weiter von A entfernt liegt.

Die Näherung durch die Sekante durch die Punkte A und C ist schlechter, da der Punkt C weiter von A entfernt liegt. LÖSUNGEN TEIL 1 Arbeitszeit: 50 min Gegeben ist die Funktion f mit der Gleichung. Begründen Sie, warum die Steigung der Sekante durch die Punkte A(0 2) und C(3 11) eine weniger gute Näherung für die Tangentensteigung

Mehr

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b

AGROPLUS Buchhaltung. Daten-Server und Sicherheitskopie. Version vom 21.10.2013b AGROPLUS Buchhaltung Daten-Server und Sicherheitskopie Version vom 21.10.2013b 3a) Der Daten-Server Modus und der Tresor Der Daten-Server ist eine Betriebsart welche dem Nutzer eine grosse Flexibilität

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

Strom - Spannungscharakteristiken

Strom - Spannungscharakteristiken Strom - Spannungscharakteristiken 1. Einführung Legt man an ein elektrisches Bauelement eine Spannung an, so fließt ein Strom. Den Zusammenhang zwischen beiden Größen beschreibt die Strom Spannungscharakteristik.

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

QTrade GmbH Landshuter Allee 8-10 80637 München 089 381536860 info@qtrade.de Seite 1

QTrade GmbH Landshuter Allee 8-10 80637 München 089 381536860 info@qtrade.de Seite 1 QCentral - Ihre Tradingzentrale für den MetaTrader 5 (Wert 699 EUR) QTrade GmbH Landshuter Allee 8-10 80637 München 089 381536860 info@qtrade.de Seite 1 Installation A Haben Sie auf Ihrem PC nur einen

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

Deutsches Rotes Kreuz. Kopfschmerztagebuch von:

Deutsches Rotes Kreuz. Kopfschmerztagebuch von: Deutsches Rotes Kreuz Kopfschmerztagebuch Kopfschmerztagebuch von: Hallo, heute hast Du von uns dieses Kopfschmerztagebuch bekommen. Mit dem Ausfüllen des Tagebuches kannst Du mehr über Deine Kopfschmerzen

Mehr

Installationsanleitung für das KKL bzw. AGV4000 Interface

Installationsanleitung für das KKL bzw. AGV4000 Interface Installationsanleitung für das KKL bzw. AGV4000 Interface Diese Anleitung ist unter Windows XP erstellt worden, ist aber auch übertragbar auf Windows 2000/ Vista / Windows 7. Je nach Einstellungen des

Mehr

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler

Downloadfehler in DEHSt-VPSMail. Workaround zum Umgang mit einem Downloadfehler Downloadfehler in DEHSt-VPSMail Workaround zum Umgang mit einem Downloadfehler Downloadfehler bremen online services GmbH & Co. KG Seite 2 Inhaltsverzeichnis Vorwort...3 1 Fehlermeldung...4 2 Fehlerbeseitigung...5

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

Quadratische Gleichungen

Quadratische Gleichungen Quadratische Gleichungen Aufgabe: Versuche eine Lösung zu den folgenden Zahlenrätseln zu finden:.) Verdoppelt man das Quadrat einer Zahl und addiert, so erhält man 00..) Addiert man zum Quadrat einer Zahl

Mehr

Die Größe von Flächen vergleichen

Die Größe von Flächen vergleichen Vertiefen 1 Die Größe von Flächen vergleichen zu Aufgabe 1 Schulbuch, Seite 182 1 Wer hat am meisten Platz? Ordne die Figuren nach ihrem Flächeninhalt. Begründe deine Reihenfolge. 1 2 3 4 zu Aufgabe 2

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

Folie 1: Fehlerbaumanalyse (FTA) Kurzbeschreibung und Ziel Die Fehlerbaumanalyse im Englischen als Fault Tree Analysis bezeichnet und mit FTA

Folie 1: Fehlerbaumanalyse (FTA) Kurzbeschreibung und Ziel Die Fehlerbaumanalyse im Englischen als Fault Tree Analysis bezeichnet und mit FTA Folie 1: Fehlerbaumanalyse (FTA) Kurzbeschreibung und Ziel Die Fehlerbaumanalyse im Englischen als Fault Tree Analysis bezeichnet und mit FTA abgekürzt dient der systematischen Untersuchung von Komponenten

Mehr

Anleitung zum GUI Version 2.x

Anleitung zum GUI Version 2.x GUI (Graphical User Interface) für PC-DMIS V3.x 4.x Seite 1 von 7 Anleitung zum GUI Version 2.x Einsatzziel des Programms: Über ein grafisches Menü PC-DMIS Messprogramme starten. Empfehlenswert für Anwender

Mehr

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor

Produktbeschreibung. 8x230VAC - Eingangsadapter. HomeAutomationSystem Edition V0.1 Dipl.-Ing. Pankraz Viktor Produktbeschreibung 8x230VAC - Eingangsadapter Projekt HomeAutomationSystem Edition V0.1 Author Dipl.-Ing. Pankraz Viktor Copyright Viktor Pankraz 2016 All rights reserved Fragen und Anregungen Sie haben......

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten

Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten Stundenerfassung Version 1.8 Anleitung Arbeiten mit Replikaten 2008 netcadservice GmbH netcadservice GmbH Augustinerstraße 3 D-83395 Freilassing Dieses Programm ist urheberrechtlich geschützt. Eine Weitergabe

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Installationsanleitung FRITZ!BOX Fon 7270

Installationsanleitung FRITZ!BOX Fon 7270 Installationsanleitung FRITZ!BOX Fon 7270 1. Benutzerkonto erstellen Wählen Sie auf unserer Website den Menüpunkt anmelden und folgen Sie Schritt für Schritt den Anweisungen zur Erstellung Ihres IP-Phone

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag)

Zu DT Übung 11.1 FF oben links. (Lösungsvorschlag) Zu DT Übung 11.1 FF oben links RS-FF ungetaktet, dominierender Setzeingang A Kein Takteingang und keine direkt wirkenden Setz- und Rücksetzeingänge. Die Signale T und C haben deshalb hier keine Wirkung.

Mehr

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten

Access [basics] Rechnen in Berichten. Beispieldatenbank. Datensatzweise berechnen. Berechnung im Textfeld. Reporting in Berichten Rechnen in Berichten Berichte bieten die gleichen Möglichkeit zur Berechnung von Werten wie Formulare und noch einige mehr. Im Gegensatz zu Formularen bieten Berichte die Möglichkeit, eine laufende Summe zu bilden oder Berechnungen

Mehr

Microsoft Access 2010 Navigationsformular (Musterlösung)

Microsoft Access 2010 Navigationsformular (Musterlösung) Hochschulrechenzentrum Justus-Liebig-Universität Gießen Microsoft Access 2010 Navigationsformular (Musterlösung) Musterlösung zum Navigationsformular (Access 2010) Seite 1 von 5 Inhaltsverzeichnis Vorbemerkung...

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 4 10 am 14.03.1997

Aufg. P max 1 10 Klausur Elektrotechnik 2 14 3 8 4 10 am 14.03.1997 Name, Vorname: Matr.Nr.: Hinweise zur Klausur: Aufg. P max 1 10 Klausur "Elektrotechnik" 2 14 3 8 6141 4 10 am 14.03.1997 5 18 6 11 Σ 71 N P Die zur Verfügung stehende Zeit beträgt 1,5 h. Zugelassene Hilfsmittel

Mehr

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen.

2. Im Admin Bereich drücken Sie bitte auf den roten Button Webseite bearbeiten, sodass Sie in den Bearbeitungsbereich Ihrer Homepage gelangen. Bildergalerie einfügen Wenn Sie eine Vielzahl an Bildern zu einem Thema auf Ihre Homepage stellen möchten, steht Ihnen bei Schmetterling Quadra das Modul Bildergalerie zur Verfügung. Ihre Kunden können

Mehr

Dokumentation. estat Version 2.0

Dokumentation. estat Version 2.0 Dokumentation estat Version 2.0 Installation Die Datei estat.xla in beliebiges Verzeichnis speichern. Im Menü Extras AddIns... Durchsuchen die Datei estat.xla auswählen. Danach das Auswahlhäkchen beim

Mehr

LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH

LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH LDAP Konfiguration nach einem Update auf Version 6.3 Version 1.2 Stand: 23. Januar 2012 Copyright MATESO GmbH MATESO GmbH Daimlerstraße 7 86368 Gersthofen www.mateso.de Dieses Dokument beschreibt die Konfiguration

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien

Bedienungsanleitung: Onlineverifizierung von qualifiziert signierten PDF-Dateien Sie haben von der VR DISKONTBANK GmbH ein signiertes PDF-Dokument (i.d.r. eine Zentralregulierungsliste mit dem Status einer offiziellen Rechnung) erhalten und möchten nun die Signatur verifizieren, um

Mehr

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über

Güte von Tests. die Wahrscheinlichkeit für den Fehler 2. Art bei der Testentscheidung, nämlich. falsch ist. Darauf haben wir bereits im Kapitel über Güte von s Grundlegendes zum Konzept der Güte Ableitung der Gütefunktion des Gauss im Einstichprobenproblem Grafische Darstellung der Gütefunktionen des Gauss im Einstichprobenproblem Ableitung der Gütefunktion

Mehr

A2.3: Sinusförmige Kennlinie

A2.3: Sinusförmige Kennlinie A2.3: Sinusförmige Kennlinie Wie betrachten ein System mit Eingang x(t) und Ausgang y(t). Zur einfacheren Darstellung werden die Signale als dimensionslos betrachtet. Der Zusammenhang zwischen dem Eingangssignal

Mehr

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte

50. Mathematik-Olympiade 2. Stufe (Regionalrunde) Klasse 11 13. 501322 Lösung 10 Punkte 50. Mathematik-Olympiade. Stufe (Regionalrunde) Klasse 3 Lösungen c 00 Aufgabenausschuss des Mathematik-Olympiaden e.v. www.mathematik-olympiaden.de. Alle Rechte vorbehalten. 503 Lösung 0 Punkte Es seien

Mehr

Installation OMNIKEY 3121 USB

Installation OMNIKEY 3121 USB Installation OMNIKEY 3121 USB Vorbereitungen Installation PC/SC Treiber CT-API Treiber Einstellungen in Starke Praxis Testen des Kartenlesegeräts Vorbereitungen Bevor Sie Änderungen am System vornehmen,

Mehr

Im Jahr t = 0 hat eine Stadt 10.000 Einwohner. Nach 15 Jahren hat sich die Einwohnerzahl verdoppelt. z(t) = at + b

Im Jahr t = 0 hat eine Stadt 10.000 Einwohner. Nach 15 Jahren hat sich die Einwohnerzahl verdoppelt. z(t) = at + b Aufgabe 1: Im Jahr t = 0 hat eine Stadt 10.000 Einwohner. Nach 15 Jahren hat sich die Einwohnerzahl verdoppelt. (a) Nehmen Sie lineares Wachstum gemäß z(t) = at + b an, wobei z die Einwohnerzahl ist und

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

4. BEZIEHUNGEN ZWISCHEN TABELLEN

4. BEZIEHUNGEN ZWISCHEN TABELLEN 4. BEZIEHUNGEN ZWISCHEN TABELLEN Zwischen Tabellen können in MS Access Beziehungen bestehen. Durch das Verwenden von Tabellen, die zueinander in Beziehung stehen, können Sie Folgendes erreichen: Die Größe

Mehr

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15

Historical Viewer. zu ETC5000 Benutzerhandbuch 312/15 Historical Viewer zu ETC5000 Benutzerhandbuch 312/15 Inhaltsverzeichnis 1 Allgemeine Hinweise... 3 1.1 Dokumentation...3 2 Installation... 3 3 Exportieren der Logdatei aus dem ETC 5000... 3 4 Anlegen eines

Mehr

16 Übungen gemischte Schaltungen

16 Übungen gemischte Schaltungen 6 Übungen gemischte Schaltungen 6. Aufgabe Gemischt (Labor) a) Berechne alle Ströme und Spannungen und messe diese nach! 3 = Rges = + 3 = 4,39kΩ 3 =,939kΩ Iges= Rges =2,46mA=I U = * I = 5,32V = U3 = U

Mehr

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion

Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Auktionen erstellen und verwalten mit dem GV Büro System und der Justiz Auktion Workshop Software GmbH Siemensstr. 21 47533 Kleve 02821 / 731 20 02821 / 731 299 www.workshop-software.de Verfasser: SK info@workshop-software.de

Mehr

Menü auf zwei Module verteilt (Joomla 3.4.0)

Menü auf zwei Module verteilt (Joomla 3.4.0) Menü auf zwei Module verteilt (Joomla 3.4.0) Oft wird bei Joomla das Menü in einem Modul dargestellt, wenn Sie aber z.b. ein horizontales Hauptmenü mit einem vertikalen Untermenü machen möchten, dann finden

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Barcodedatei importieren

Barcodedatei importieren Barcodedatei importieren Inhaltsverzeichnis 1 Schnittstelle Barcodedatei importieren... 2 1.1 Funktion... 2 1.2 Konfiguration... 2 1.2.1 Lizenz... 2 1.2.2 Einstellungen... 2 1.2.3 Vorarbeiten... 3 1.2.3.1

Mehr

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie

Protokoll des Versuches 7: Umwandlung von elektrischer Energie in Wärmeenergie Name: Matrikelnummer: Bachelor Biowissenschaften E-Mail: Physikalisches Anfängerpraktikum II Dozenten: Assistenten: Protokoll des Versuches 7: Umwandlung von elektrischer Energie in ärmeenergie Verantwortlicher

Mehr

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang

Outlook. sysplus.ch outlook - mail-grundlagen Seite 1/8. Mail-Grundlagen. Posteingang sysplus.ch outlook - mail-grundlagen Seite 1/8 Outlook Mail-Grundlagen Posteingang Es gibt verschiedene Möglichkeiten, um zum Posteingang zu gelangen. Man kann links im Outlook-Fenster auf die Schaltfläche

Mehr

Mean Time Between Failures (MTBF)

Mean Time Between Failures (MTBF) Mean Time Between Failures (MTBF) Hintergrundinformation zur MTBF Was steht hier? Die Mean Time Between Failure (MTBF) ist ein statistischer Mittelwert für den störungsfreien Betrieb eines elektronischen

Mehr

Bericht über die Untersuchung zur Erblichkeit von Herzerkrankungen beim PON

Bericht über die Untersuchung zur Erblichkeit von Herzerkrankungen beim PON 1 Bericht über die Untersuchung zur Erblichkeit von Herzerkrankungen beim PON Einleitung Bei der Rasse PON wurden im APH in der letzten Zeit auffällig viele Herzkrankheiten und Herzveränderungen unterschiedlicher

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT)

Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Klausur zur Vorlesung Grundlagen der Technischen Informatik / Digitaltechnik (GTI/DT) Prof. Marco Platzner Fachgebiet Technische Informatik Universität Paderborn 03.04.2009 Die Bearbeitungsdauer beträgt

Mehr

Erstellen von x-y-diagrammen in OpenOffice.calc

Erstellen von x-y-diagrammen in OpenOffice.calc Erstellen von x-y-diagrammen in OpenOffice.calc In dieser kleinen Anleitung geht es nur darum, aus einer bestehenden Tabelle ein x-y-diagramm zu erzeugen. D.h. es müssen in der Tabelle mindestens zwei

Mehr

In diesem Thema lernen wir die Grundlagen der Datenbanken kennen und werden diese lernen einzusetzen. Access. Die Grundlagen der Datenbanken.

In diesem Thema lernen wir die Grundlagen der Datenbanken kennen und werden diese lernen einzusetzen. Access. Die Grundlagen der Datenbanken. In diesem Thema lernen wir die Grundlagen der Datenbanken kennen und werden diese lernen einzusetzen. Access Die Grundlagen der Datenbanken kurspc15 Inhaltsverzeichnis Access... Fehler! Textmarke nicht

Mehr