Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Größe: px
Ab Seite anzeigen:

Download "Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs"

Transkript

1 Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm,7 - Atomdichte N cm 3 5, 22 2,2 22 spez. Dichte (2 C) γ g/cm 3 2,3 5,35 Gitterkonstante (2 C) a nm,543,565 Schmelzpunkt C spez. Wärme c J/(gK),7,35 Wärmeleitfähigkeit κ W/(cmK),4,455 Intrinsische Dichte n i cm 3,5,8 6 Eigenleitfähigkeit σ i S/cm 4,4 6 Relative 2(Si) Dielektrizitätskonstante ε r 3,92(SiO 2 ) Bandabstand W g ev,2,43 eff. Masse Elektronen m n,33 m,67 m Löcher m p,56 m,5 m Diffussionskonstante Elektronen D n cm 2 /s Löcher D p cm 2 /s 2,5 2 Austrittspotential Elektronen φ V 3,78 3,57 Beweglichkeit bei schwacher Dotierung Elektronen µ n cm 2 /Vs 5 85 Löcher µ p cm 2 /Vs eff. Zustandsdichte Elektronen N L cm 3 2,8 9,47 9 Löcher N V cm 3, 9,7 9 Eigenschaften von Si und GaAs bei T = 3K, sofern nicht anders angegeben.

2 Physikalische Konstanten Konstante Temperaturspannung Elementarladung Boltzmann-Konstante Symbol, Wert und Einheit U T = k T e = 25,9 mv e =,62 9 As ( T ) 3K k = 8,62 5 ev/k =,38 23 J/K Ruhemasse des Elektrons m = 9, 3 kg =,9 34 VAs 3 /cm 2 Dielektrizitätskonstante des Vakuums ε = 8,85 4 As/Vcm Planck sches Wirkungsquantum h = 6, Ws 2 bzw. h = h/2π =,5 34 Js

3 Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten richtig sein. Falsche Kreuze führen zu Punktabzug!. Entwurfsprozess: Kreuzen Sie die wahren Aussagen an! Synthese bezeichnet den Übergang von einer Verhaltens- zu einer Strukturbeschreibung. Beim Top-Down-Entwurf beginnt der Entwurfsprozess mit einer Systemspezifikation. Auf der Logikebene werden Systemkomponenten über Bussysteme verknüpft. N-Kanal Transistoren bilden die Basiskomponenten in der Registertransferebene..2 ASICs: Kreuzen Sie die wahren Aussagen an! Wegen der geringen Kosten eignen sich Voll-Kundenspezifische ASIC-Entwürfe besonders für geringe Stückzahlen. Durch den Einsatz von Standardzellen können die ASIC-Entwicklungskosten reduziert werden. FPGAs sind (re-)programmierbare Logikbausteine. FPGAs ermöglichen eine besonders effiziente Ausnutzung der Chipfläche..3 CMOS-Technologie: Kreuzen Sie die wahren Aussagen an! Statische CMOS-Logik wir mit Hilfe von Bipolartransistoren aufgebaut. Zur Steigerung der Schaltfrequenzen in digitalen CMOS-Schaltungen wird die Betriebsspannung gesenkt um dadurch die Wärmeentwicklung zu reduzieren. Charakteristisches Merkmal der CMOS-Technologie ist die Platzierung von n- und p-kanal Transistoren auf einem Wafer. Durch den Einsatz von komplementären Schaltungsteilen in der CMOS- Technologie wird die durch Querströme verursachte Verlustleistung reduziert. Integrierte Digitalschaltungen H - Seite 3 von 22

4 .4 MOSFET: Kreuzen Sie die wahren Aussagen an! Im Kompensationspunkt ist der Drainstrom temperaturunabhängig. Im Abschnürpunkt befindet sich der MOSFET im Sperrbereich. Das Eingangskennlinienfeld verschiebt sich beim Spannung U GS auf der x-achse. Ändern der Gate-Source- Die Inversionsschicht ermöglicht einen Ladungsfluss zwischen Source- und Drain-Elektrode. Integrierte Digitalschaltungen H - Seite 4 von 22

5 CMOS-Inverter Es sind folgende Technologie-Parameter bekannt: Versorgungsspannung U DD =,2 V Gateoxid-Dicke t ox = 2 nm Rel. Dielektr.-konstante d. Gateoxids ε r,ox = 4 Schwellenspannungen U th,n = U th,p =,4 V Beweglichkeit der Elektronen u. Löcher µ n = 4 cm 2 /Vs, µ p = 5 cm 2 /Vs.5 Zeichnen Sie das Schaltbild eines CMOS-Inverters und beschriften Sie die Anschlüsse (S, G, D, B, U DD, GND, U E und U A )..6 Bestimmen Sie das Verhältnis der Weiten (W p und W n ) des p- und n-kanal Transistors für einen CMOS-Inverter mit symmetrischem Schaltverhalten. Die minimale Strukturgröße beträgt nm. (L n = L p = nm).7 Mit Hilfe des minimal dimensionierten Inverters aus Aufgabe.6 wird ein Buffer gemäß Abb.. aufgebaut. Berechnen Sie die Kapazität C am Ausgang des ersten Inverters. Berücksichtigen Sie den Miller-Effekt. Hinweis: Die Kapazität C kann mit Hilfe der Kapazitäten C ox,n und C ox,p bestimmt werden. Integrierte Digitalschaltungen H - Seite 5 von 22

6 C C aus C L = 6,4 ff Abb..: Buffer.8 Wie groß ist die Verzögerungszeit t p beim Treiben der Lastkapazität C L? Berücksichtigen Sie ebenfalls die Ausgangskapazität C aus des zweiten Inverters im Buffer. Hinweis: Benutzen Sie das einfache RC-Modell..9 Im Folgenden wird der zweite Inverter im Buffer mit dem Ziel einer minimalen Verzögerungszeit dimensioniert. Die Skalierung mit dem Faktor α wird unter Einhaltung des symmetrischen Schaltverhaltens durchgeführt. a) Geben Sie die Formel für die Kapazität C als Funktion von α und der Kapazität C ox,n des ersten Inverters an! b) Geben Sie die Formel für die gesamte Ausgangskapazität C aus,ges als Funktion von α, der Kapazität C ox,n des ersten Inverters und der Lastkapazität C L an! Integrierte Digitalschaltungen H - Seite 6 von 22

7 c) Geben Sie die Formel für die Verzögerungszeit t p als Funktion von α, C ox,n, C L und dem Widerstand R = R n = R p des ersten Inverters an und bestimmen Sie den Skalierungsfaktor α mit dem die Verzögerungszeit minimal wird! Hinweis: Extremwertproblem! d) Berechnen Sie den relativen Flächenaufwand und die relative Verzögerungszeit im Vergleich zu dem minimal dimensionierten Buffer! Integrierte Digitalschaltungen H - Seite 7 von 22

8 Aufgabe 2 Aufgabe 2: CMOS-Logik (25 Punkte) In den folgenden Aufgabenpunkten werden der Aufbau und die Funktionsweise von Logikgattern mit 3 Einga ngen untersucht. Die einzelnen Aufgabenpunkte ko nnen teilweise unabha ngig voneinander bearbeitet werden. Leckstro me ko nnen vernachla ssigt werden! 2. In Abb. 2. ist das Schaltbild eines Logikgatters mit 3 differentiellen Einga ngen auf Transistorebene dargestellt. A T5 T K T T4 A Q T7 3 K2 B C Q T6 C B T8 T2 A B C Q Q Abb. 2.: Schaltbild eines Logikgatters mit 3 Einga ngen a) Wie wird die eingesetzte Schaltungstechnik genannt? Was fu r Transistortypen werden verwendet? T6 Q b) Die Eingangssignale betragen (A B Pegel in T3C) = ( ). Tragen Sie die logischen K 2 ein! die linke Ha lfte der gestrichelten Ka sten in Abb. 2. Q c) Die Eingangssignale betragen K (AB C) = ( ). Tragen Sie die logischen Pegel in T4 T 7 die Mitte der gestrichelten Ka sten in Abb. 2. ein! Q2 T2 3 d) Die Eingangssignale betragen (A B C) = ( ).KTragen Sie die logischen Pegel in Q3 die rechte Ha lfte der gestrichelten Ka sten in Abb. 2. ein! T VDD 5 e) Geben Sie die Funktionsgleichungen Q und Q an! Q4 Gehen Sie im Folgenden davon aus, dass alle Ausga nge mit einer Lastkapazit a t CQx,L Q5 belastet sind und die Betriebsspannung 3,3 Volt betra gt. Verwenden Sie zur Beschreibung der Transistoren das RC-Modell und nehmen Sie Uth = V an!q6 f) Zeichnen Sie das Baumdiagramm des Zustandswechsels von (A Q B C) 7 = ( ) auf (A B C) = ( ) fu r den Ausgang Qi, der auf den High-Pegel umgeladen wird! g) Geben Sie die Umladezeit allgemein als Funktion der effektiv wirksamen KapaA Ader A A a ndea2r an! A2 Transistorwiderst zita ten (CKi, CQi ) und ni Integrierte Digitalschaltungen H - Seite 8 von 22

9 Aufgabe Im Folgenden soll die in Abb. 2.2 dargestellte Schaltung aus mehreren NAND-Gattern na her betrachtet werden. Dabei soll der linke Teil mit dem RC-Glied zuna chst unberu cksichtigt bleiben. A & A & & RD & X CD & & & Q B & C Abb. 2.2: Schaltung aus mehreren NAND-Gattern a) Welche grundlegende Logikfunktion wird jeweils mit den vier NAND-Gattern in den gestrichelten Ka sten realisiert? T6 b) Welche Logikfunktion wird mit der Gesamtschaltung Q(A B C) realisiert? Q T3 K c) Zeichen Sie die Realisierung eines NAND-Gatters2 auf Transistorebene in CMOSQ Schaltungstechnik! K T4 T7 Schaltung beno tigt? d) Wie viele Transistoren werden fu r die in Abb. 2.2 dargestellte Q2 T2 K e) In welcher Schaltungstechnik ist eine Realisierung3 der Gesamtschaltung mit weniq3 gegenu ber ger Transistoren mo glich? Nennen Sie einen Vorteil dieser Technologie T VDD 5 der Realisierung in CMOS-Schaltungstechnik. Q4 Q5 Q6 Q7 A A A A A2 A2 Integrierte Digitalschaltungen H - Seite 9 von 22

10 Aufgabe 2 Nun wird der Eingang B u ber ein RC-Glied mit der Zeitkonstanten τ mit dem Eingang A verbunden, wie im linken Teil der Abb. 2.2 dargestellt. Hierdurch wird das Signal am Eingang B im Vergleich zum Eingang A um die Zeit tdelay = ns verzo gert. Der Eingang A wird mit einem MHz Rechtecksignal gespeist. C A B Q t/ns Abb. 2.3: Signalverla ufe bei Stimulierung mit einem MHz Rechtecksignal f) Tragen Sie, unter Beru cksichtigung der Verzo gerungszeit T6 des Eingangs B, die fehlenden Signalverla ufe in Abb. 2.3 ein. Q T 3 K2 g) Welche Frequenz hat das Ausgangssignal wenn am Eingang C Q ein Low-Pegel ank T liegt? Welche Funktion erfu llt die Schaltung4mit dem RC-Glied? T7 T2 Q2 K3 Q3 T5 VDD Q4 Q5 Q6 Q7 A A A A A2 A2 Integrierte Digitalschaltungen H - Seite von 22

11 3 Aufgabe 3: Schaltwerke und Speicher (25 Punkte) 3. In diesem Aufgabenpunk wird das Flip-Flop (FF) als Grundbaustein von Schaltwerken näher betrachtet. a) Nennen Sie zwei Anwendungen von FFs in digitalen Schaltungen! b) Beschreiben Sie den Unterschied zwischen einem Latch und einem FF! c) Zeichnen Sie in Abb. 3.ein Master-Slave-FF in Clocked CMOS-Technik auf Transistorebene, welches auf die fallende Taktflanke triggert. VDD D Q C L C L GND GND Abb. 3.: Clocked CMOS Flip-Flop d) Im Vergleich zur Clocked CMOS-Technik kann bei statischen FFs ein Problem auftreten. Wie wird dieses Problem genannt? Beschreiben sie kurz die Ursache dafür! VDD Die Schaltung in Abb.3.2 besteht aus zwei identischen FFs. Diese haben die folgenden elektrischen Eigenschaften: t su =,2 ns ; t h =,2 ns; t c q =,3 ns. e) Vervollständigen Sie den Signalverlauf des Knotens Q i in Abb.3.3! f) Wie groß ist das Verhältnis der Frequenzen zwischen Eingang und Ausgang der Schaltung (f out /f in )? g) Wie hoch ist die maximale Frequenz f in,max mit der die Schaltung getaktet werden darf? D Q C L Integrierte Digitalschaltungen H - Seite von 22 C L GND GND

12 3 Clk in Q i D Q D Q Clk out FF FF Abb. 3.2: Taktgesteuerte Schaltung ns 2ns 3ns 4ns Clk in Q i Abb. 3.3: Zeit Diagram des Signalverlauf h) Wie hoch ist die Verlustleistung der Schaltung bei einer Taktfrequenz von 5 MHz, wenn jedes FF eine statische Verlustleistung von,2 nw und eine dynamische von,9 nw/mhz aufweist? ns 2ns 3ns 4ns 3.2 Im Folgenden werden verschiedene Arten von Speichern betrachtet. a) Zeichnen Sie in Abb. 3.4 eine DRAM-Zelle auf Transistorebene und bezeichnen Sie alle Clkzum Betrieb benötigten Anschlüsse! in b) Nennen Sie die beiden Hauptnachteile von dynamischem im Vergleich zu statischem Speicher! Erklären Sie kurz deren Ursache! Q i Integrierte Digitalschaltungen H - Seite 2 von 22

13 3 U S C S Abb. 3.4: DRAM Zelle Gegeben ist das Speicherfeld in Abb Bei einem Speicherzugriff wird jeweils genau Byte gelesen bzw. geschrieben. Bei einer Versorgungsspannung von U DD = 3 V beträgt die Zugriffszeit 2 ns. Die Bitleitung hat eine Kapazität von C ltg = 2 C S = 5 ff. Wortleitung Refresh counter Adresse 8 Dekoder C S U S Bitleitung Abb. 3.5: DRAM Speicherfeld y y y 2 y 7 c) Welchen Wert hat die Spannung an der Speicherkapazität C S, in die eine logische geschrieben wurde, nach einer Zeit von t d = ms, wenn der Leckstrom I leck = 8 pa beträgt? Wie viel Energie geht während dieser Zeit in die Speicherzelle verloren? d) In einer Speicherzelle ist eine logische gespeichert. Leckströme sollen jetzt vernachlässigt werden. Wie groß ist die Spannung über C S nach einem Lesevorgang, wenn die Bitleitung auf,4 U DD vorgeladen wurde? Bei einem Refresh -Vorgang der Speicherzellen wird der Refresh counter in Abb. 3.5 jeweils um erhöht. e) Wie viele Bits (also Flip Flops) werden für den Refresh counter benötigt und wie groß ist die Kapazität des Speicherfeldes? f) Welchen Wert hat die Frequenz mit welcher der Refresh counter maximal inkrementiert werden darf? Integrierte Digitalschaltungen H - Seite 3 von 22

14 3 g) Wie lange dauert dann der gesamte Refresh -Zyklus t R des Speicherfeldes? h) Damit die gespeicherten Informationen der Zellen nicht verloren gehen, müssen diese spätestens alle 2 ms aufgefrischt werden. Mit welcher Frequenz darf der Refresh counter minimal inkrementiert werden, damit der Speicherinhalt erhalten bleibt? Integrierte Digitalschaltungen H - Seite 4 von 22

15 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) 4. a) Die Wahrheitstabelle in Abb. 4. beschreibt das Verhalten des Halbaddierers. Geben Sie die Formeln für den Summenausgang (S) und den Carryausgang (Co) als Funktionen von den Eingängen A und B an. S = Co = B A S Co Abb. 4.: Wahrheitstabelle für den Halbaddierer. b) Vervollständigen Sie den VHDL-Code für die entity Halbaddierer indem Sie die Ports der Komponente definieren. Entity Halbaddierer is port( -- Vervollständigen Sie die Entity hier! ); end Halbaddierer; Integrierte Digitalschaltungen H - Seite 5 von 22

16 4 c) Vervollständigen Sie folgenden VHDL-Code indem Sie die Funktionalität des Halbaddierers als Datenflußbeschreibung einfügen. architecture dataflow of Halbaddierer is begin -- Fügen Sie die Datenflussbeschreibung hier ein! end dataflow; d) Erweitern Sie jetzt den Entwurf zum Volladdierers. Vervollständigen Sie die Wahrheitstabelle in Abb. 4.2 um das Verhalten des Volladdierers zu beschreiben. B A Ci S Co Abb. 4.2: Wahrheitstabelle für den Volladdierer. e) Geben Sie die vereinfachte Formeln für den Summenausgang (S) und den Carryausgang (Co) an. S = Co = Integrierte Digitalschaltungen H - Seite 6 von 22

17 4 f) Vervollständigen Sie die architecture im folgenden VHDL-Code indem Sie die Funktionalität des Volladdierers in Form einer Datenflussbeschreibung einfügen. architecture dataflow of Volladdierer is begin -- Fügen Sie die Datenflussbeschreibung hier ein! end dataflow; g) Vervollständigen Sie das Blockdiagramm von einem 3-Bit Carry-Ripple-Addierer unter Verwendung eines Halbaddierers und zweier Volladdierer (s. Abb. 4.3). Beschriften Sie die Ports und Komponentennamen sowie die Verbindungen. Abb. 4.3: 3-bit-Carry-Ripple-Addierer. Integrierte Digitalschaltungen H - Seite 7 von 22

18 4 h) Vervollständigen Sie die architecture (Strukturbeschreibung) des 3-Bit Carry- Ripple-Addierer. Achten Sie auf die Verwendung der korrekten Signal- und Portbezeichnungen. ENTITY CRA IS PORT( A, B : IN std_logic_vector(2 DOWNTO ); S : OUT std_logic_vector(2 DOWNTO ); Co : OUT std_logic ); END CRA; ARCHITECTURE structural OF CRA IS COMPONENT Halbaddierer PORT ( ); END COMPONENT; COMPONENT Volladdierer PORT( A, B, Ci : in std_logic; S, Co : out std_logic ); END COMPONENT; SIGNAL int, int2 : std_logic; -- internal signal BEGIN HA: Halbaddierer Integrierte Digitalschaltungen H - Seite 8 von 22

19 4 PORT MAP( ); FA: Volladdierer PORT MAP( ); FA2: Volladdierer PORT MAP( ); END structural; Integrierte Digitalschaltungen H - Seite 9 von 22

20 4 4.2 Der Ausgang des Carry-Ripple-Addierers soll in einer 7-segment Anzeige als einstellige Hexadezimalzahl dargestellt werden. Dafür wird ein Kodierer für 4-Bit zu 7- Segment Anzeige benötigt. a) Vervollständigen Sie in Abb. 4.4 den VHDL-Code der entity Coder indem Sie die Ports der Komponente definieren. Abb. 4.4: 7-segment Anzeige Coder. Entity Coder is port( -- Vervollständigen Sie die Entity hier! ); end Coder; b) Vervollständigen Sie die Wahrheitstabelle des Coders in Abb. 4.5! Integrierte Digitalschaltungen H - Seite 2 von 22

21 4 SEG(6) to SEG()) D(3) D(2) D() D() Abb. 4.5: Wahrheitstabelle für den 7-segment Anzeige Coder. c) Vervollständigen Sie die Karnaugh-Graphen in Abb 4.6 zur Ansteuerung des Segment SEG(4)! Abb. 4.6: Karnaugh-Graphen für das Segment SEG(4). d) Zeichnen Sie die Logikschaltung zur Steuerung des Segments SEG(4) unter Verwendung der folgenden Gatter (AND, OR, INV)! Integrierte Digitalschaltungen H - Seite 2 von 22

22 4 e) Vervollständigen sie die architecture im folgenden VHDL-Code indem Sie die Funktionalität der Komponente Coder als Verhaltensbeschreibung einfügen! architecture behavioral of Coder is begin -- Fügen Sie die Verhaltensbeschreibung hier ein! end Coder; Integrierte Digitalschaltungen H - Seite 22 von 22

23 Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten richtig sein. Falsche Kreuze führen zu Punktabzug!. Entwurfsprozess: Kreuzen Sie die wahren Aussagen an! Synthese bezeichnet den Übergang von einer Verhaltens- zu einer Strukturbeschreibung. Beim Top-Down-Entwurf beginnt der Entwurfsprozess mit einer Systemspezifikation. Auf der Logikebene werden Systemkomponenten über Bussysteme verknüpft. N-Kanal Transistoren bilden die Basiskomponenten in der Registertransferebene..2 ASICs: Kreuzen Sie die wahren Aussagen an! Wegen der geringen Kosten eignen sich Voll-Kundenspezifische ASIC-Entwürfe besonders für geringe Stückzahlen. Durch den Einsatz von Standardzellen können die ASIC-Entwicklungskosten reduziert werden. FPGAs sind (re-)programmierbare Logikbausteine. FPGAs ermöglichen eine besonders effiziente Ausnutzung der Chipfläche..3 CMOS-Technologie: Kreuzen Sie die wahren Aussagen an! Statische CMOS-Logik wir mit Hilfe von Bipolartransistoren aufgebaut. Zur Steigerung der Schaltfrequenzen in digitalen CMOS-Schaltungen wird die Betriebsspannung gesenkt um dadurch die Wärmeentwicklung zu reduzieren. Charakteristisches Merkmal der CMOS-Technologie ist die Platzierung von n- und p-kanal Transistoren auf einem Wafer. Durch den Einsatz von komplementären Schaltungsteilen in der CMOS- Technologie wird die durch Querströme verursachte Verlustleistung reduziert. Integrierte Digitalschaltungen H - Seite von 8

24 .4 MOSFET: Kreuzen Sie die wahren Aussagen an! Im Kompensationspunkt ist der Drainstrom temperaturunabhängig. Im Abschnürpunkt befindet sich der MOSFET im Sperrbereich. Das Eingangskennlinienfeld verschiebt sich beim Ändern der Gate-Source- Spannung U GS auf der x-achse. Die Inversionsschicht ermöglicht einen Ladungsfluss zwischen Source- und Drain-Elektrode. Integrierte Digitalschaltungen H - Seite 2 von 8

25 CMOS-Inverter Es sind folgende Technologie-Parameter bekannt: Versorgungsspannung U DD =,2 V Gateoxid-Dicke t ox = 2 nm Rel. Dielektr.-konstante d. Gateoxids ε r,ox = 4 Schwellenspannungen U th,n = U th,p =,4 V Beweglichkeit der Elektronen u. Löcher µ n = 4 cm 2 /Vs, µ p = 5 cm 2 /Vs.5 Zeichnen Sie das Schaltbild eines CMOS-Inverters und beschriften Sie die Anschlüsse (S, G, D, B, U DD, GND, U E und U A ). U DD G S B D U E U A G D B S GND.6 Bestimmen Sie das Verhältnis der Weiten (W p und W n ) des p- und n-kanal Transistors für einen CMOS-Inverter mit symmetrischem Schaltverhalten. Die minimale Strukturgröße beträgt nm. (L n = L p = nm) Im Umschaltpunkt befinden sich beide Transitoren im Abschnürbereich und es gilt: U E = U DD + U th,p + k n k p U th,n + k n k p Für symmterisches Schaltverhalten muss gelten: U E = U DD 2 k n = k p W p W n = µ n µ p = 2,8.7 Mit Hilfe des Inverters aus Aufgabe.6 wird ein Buffer aufgebaut. Berechnen Sie die Kapazität C am Ausgang des ersten Inverters. Berücksichtigen Sie den Miller-Effekt. Integrierte Digitalschaltungen H - Seite 3 von 8

26 Hinweis: Die Kapazität C kann mit Hilfe der Kapazitäten C ox,n und C ox,p bestimmt werden. C ox,n = ε ε r,ox W n L n = 8,85 4 As 4, µm, µm Vcm t ox 2 nm C ox,p = ε ε r,ox W p L p t ox = 8,85 4 As Vcm C = 5 2 (C ox,n + C ox,p ) =,685 ff 4,28 µm, µm 2 nm =,77 ff =,4956 ff.8 Wie groß ist die Verzögerungszeit t p beim Treiben der Lastkapazität C L? Berücksichtigen Sie ebenfalls die Ausgangskapazität C aus des zweiten Inverters im Buffer. Hinweis: Benutzen Sie das einfache RC-Modell. k n = k p R n = R p = R τ n = τ p t p =,693 R C k n = µ nε ε r,ox t ox Wn L n = 4 cm2 Vs As 8, Vcm, µm 2 nm, µm R = k n (U DD U th ) = 2,478 ma (,2 V,4 V) = 54,44 Ω V 2 t p =,693 R C +,693 R (C ox,n + C ox,p + C L ) = 2,478 ma V 2 =,693 54,44 Ω (,685 ff +,77 ff +,4956 ff + 6,4 ff) = 6,47 ps.9 Im Folgenden wird der zweite Inverter im Buffer mit dem Ziel einer minimalen Verzögerungszeit dimensioniert. Die Skalierung mit dem Faktor α wird unter Einhaltung des symmetrischen Schaltverhaltens durchgeführt. a) Geben Sie die Formel für die Kapazität C als Funktion von α und der Kapazität C ox,n des ersten Inverters an! C ox,p = 2,8 C ox,n C = C ox,n + C ox,p + 3 ( 2 α (C ox,n + C ox,p ) = 3,8 C ox,n + 3 ) 2 α b) Geben Sie die Formel für die gesamte Ausgangskapazität C aus,ges als Funktion von α und der Kapazität C ox,n des ersten Inverters und der Lastkapazität C L an! C aus = α (C ox,n + C ox,p ) + C L = 3,8 α C ox,n + C L Integrierte Digitalschaltungen H - Seite 4 von 8

27 c) Geben Sie die Formel für die Verzögerungszeit t p als Funktion von α, C ox,n, C L und dem Widerstand R = R n = R p des ersten Inverters an und bestimmen Sie den Skalierungsfaktor α mit dem die Verzögerungszeit minimal wird! Hinweis: Extremwertproblem! ( t p =,693 R 3,8 C ox,n + 3 ) 2 α +,693 R α (3,8 α C ox,n + C L ) t p α =,693 R 3,8 C ox,n 3 2,693 R α C! 2 L = C α = L = 4 3,8 C ox,n 3 2 d) Berechnen Sie den relativen Flächenaufwand und die relative Verzögerungszeit im Vergleich zu dem minimal dimensionierten Buffer! A = 2 (W n L n + W p L p ) = 2 3,8 W n L n A 2 = ( + 4) 3,8 W n L n A 2 A A = 3 2 = 5 % t p = 6,47 ps (Aufgabe.8) t p2 = 3,3 ps (α = 4 in t p Formel aus Aufgabe.9c einsetzen) t p t p2 t p =,49 = 49 % Integrierte Digitalschaltungen H - Seite 5 von 8

28 Aufgabe 2 Aufgabe 2: CMOS-Logik (25 Punkte) 2. Teil Passtransistorlogik XOR a) Passtransistorlogik (CPL = Complementary Pass Transistor Logic). Es werden nkanal MOSFETs verwendet. b) Siehe Abbildung: T A T5 K T4 T8 K2 T2 A Q T7 T3 Q T6 B B C C A B C Q Q Abb. 2.: Lo sung b), c), d) T6 T3 c) siehe Abb. 2. K2 d) siehe Abb. 2. K e) T4 Q T7 C + ABC Q = T2A B C + A B C + A BK Q = A B C + A B C + A B C3+ A B C T5 VDD Q Q2 Q3 f) Siehe Abbildung 2.2 Q4 g) tlh = 2,2 [CK Rn + CQ (Rn + Rn5 )] Q5 2.2 Teil 2 CMOS XOR mit Anwendung als Frequenzverdoppler Q6 a) Die NAND-Gatter stellen in der gegebenen Verschaltung eine XOR-Funktion dar. Q7 Integrierte Digitalschaltungen H - Seite 6 von 8 A A A A A2 A2

29 Aufgabe 2 Rn Rn5 Ck Cq Abb. 2.2: Baumdiagramm fu r Zustandswechsel von (A B C)= ( ) auf ( ) b) Die Gesamtschaltung stellt ein XOR-Gatter mit drei Einga ngen dar (vgl. Aufgabe 2.). c) Siehe Abbildung 2.3 T6 T3 K K2 T4 T2 Q T7 K3 Q2 Q3 T5 VDD Q Q4 Q5 Q6 Abb. 2.3: NAND Gatter in CMOS-Realisierung Q7 A A A A A2 A2 d) Es werden fu r ein NAND-Gatter in CMOS-Schaltungstechnik vier Transistoren beno tigt. Fu r die Gesamtschaltung werden 8x4=32 Transistoren beno tigt. e) In Passtransistorlogik ist eine Realisierung der Gesamtschaltung mit nur 8 Transistoren mo glich (siehe Aufgabe 2.). Ein großer Vorteil ist also der geringe Fla chenbedarf bei der Realisierung von XOR-Gattern. T6 Integrierte Digitalschaltungen H - Seite 7 von 8 T3 K2 Q Q

30 Aufgabe 2 f) Siehe Abbildung 2.4 C A B Q t/ns Abb. 2.4: Signalverla ufe (Lo sung) g) Pulsgenerator mit einstellbarer Pulsla nge durch Wahl der Zeitkonstanten des RCGliedes. Es wird eine Verdopplung der Frequenz des Eingangssignals erreicht, daher wird diese Schaltung ha ufig zur Frequenzverdopplung bis zu einigen MHz eingesetzt. T6 T3 K K2 T4 T2 Q T7 K3 Q2 Q3 T5 VDD Q Q4 Q5 Q6 Q7 A A A A A2 A2 Integrierte Digitalschaltungen H - Seite 8 von 8

31 D Aufgabe 3 Q C L C L Aufgabe 3: Schaltwerke und Speicher (25 Punkte) GND GND 3. a) Pipelining: höher Durchsatz, Speicherelement. b) Latches sind zustandsgesteuert, aber FFs sind flankengesteuert nach die Takt signal c) Siehe Abb. 3. VDD D Q C L C L GND GND Abb. 3.: Clocked CMOS Flip-Flop d) Transparenz: direkte Verbindung zwischen D & Q bei einer Überlappung von φ und φ. e) Siehe Abb. 3.2 f) f out = f in 4 g) f in (max) = t su+t c q = 2 GHz h) P = 2x,2 nw +,9 nwx5 +,9 nwx25 = 42,54 nw 3.2 a) Siehe Abb. 3.3 b) Langsames Lesen und Schreiben auf grund die Vorladungszeit durch den Leseverstärker. Refresh ist nötig, um die Speicherinhalt zu bewahren. Integrierte Digitalschaltungen H - Seite 9 von 8

32 i Aufgabe 3 ns 2ns 3ns 4ns Clk in C S U S Q i Abb. 3.2: Zeit Diagram des Signalverlauf Wortleitung C S U S Bitleitung Abb. 3.3: DRAM Zelle c) I = Q/ t Q = t I Q = C S U; U = Q/C S = dt I C S =,32 V U2 = U U = 2,68 V P leakage = I avg xu avg = 8 pa ( ) 2, = 22,7 pw = P x t =,227 pj = 227 fj E leakage d) (C ltg + C S ) V = C ltg,4 VDD + C S VDD 3C S V =,8 C S VDD V =,6 VDD =,8 V e) Die Zähler bits = # Adresse bits = 8 Wortlinie = 2 8 = 256 Speichergröße = 256 x byte = 256 byte = 2 Kbit f) T min = 2 ns f max = /T min = 5 MHz Integrierte Digitalschaltungen H - Seite von 8

33 3 g) t R = 256 x 2 ns = 5,2 µs h) T refresh = 2 ms = 7,8 µsec 256 = 28,2 khz f refresh Integrierte Digitalschaltungen H - Seite von 8

34 4 Aufgabe 4: VHDL und Hochintegration (25 Punkte) 4. a) Die Wahrheitstabelle in Abb. 4. beschreibt das Verhalten des Halbaddierers. Geben Sie die Formeln für den Summenausgang (S) und den Carryausgang (Co) als Funktionen von den Eingängen A und B an. B A S Co Abb. 4.: Wahrheitstabelle für den Halbaddierer. S = A B Co = A B b) Vervollständigen Sie den VHDL-Code für die entity Halbaddierer indem Sie die Ports der Komponente definieren. Entity Halbaddierer is port( A, B : in std_logic; S, Co : out std_logic ); end Halbaddierer; c) Vervollständigen Sie folgenden VHDL-Code indem Sie die Funktionalität des Halbaddierers als Datenflußbeschreibung einfügen. architecture dataflow of Halbaddierer is begin S <= A xor B; Co <= A and B; end dataflow; d) Erweitern Sie jetzt den Entwurf zum Volladdierers. Vervollständigen Sie die Wahrheitstabelle in Abb. 4.2 um das Verhalten des Volladdierers zu beschreiben. Integrierte Digitalschaltungen H - Seite 2 von 8

35 4 B A Ci S Co Abb. 4.2: Wahrheitstabelle für den Volladdierer. e) Geben Sie die Formeln für den Summenausgang (S) und den Carryausgang (Co) an. S = (A B) Ci Co = A B + (A B) Ci f) Vervollständigen Sie die architecture im folgenden VHDL-Code indem Sie die Funktionalität des Volladdierers in Form einer Datenflussbeschreibung einfügen. entity Volladdierer is port( A, B, Ci : in std_logic; S, Co : out std_logic ); end entity Volladdierer; architecture dataflow of Volladdierer is begin S <= (A xor B) xor Ci; Co <= (A and B) or Ci and (A xor B); end dataflow; g) Vervollständigen Sie das Blockdiagramm von einem 3-Bit Carry-Ripple-Addierer unter Verwendung eines Halbaddierers und zweier Volladdierer (s. Abb. 4.3). Beschriften Sie die Ports und Komponentennamen sowie die Verbindungen. h) Vervollständigen Sie die architecture (Strukturbeschreibung) des 3-Bit Carry- Ripple-Addierer. Achten Sie auf die Verwendung der korrekten Signal- und Portbezeichnungen. ENTITY CRA IS Integrierte Digitalschaltungen H - Seite 3 von 8

36 4 Abb. 4.3: 3-bit-Carry-Ripple-Addierer. PORT( A, B : IN std_logic_vector(2 DOWNTO ); S : OUT std_logic_vector(2 DOWNTO ); Co : OUT std_logic ); END CRA; ARCHITECTURE structural OF CRA IS COMPONENT Halbaddierer PORT( A, B : in std_logic; S, Co : out std_logic ); END COMPONENT; COMPONENT Volladdierer PORT( A, B, Ci : in std_logic; S, Co : out std_logic ); END COMPONENT; SIGNAL int, int2 : std_logic; -- internal signal BEGIN HA: Halbaddierer PORT MAP( A => A(), Integrierte Digitalschaltungen H - Seite 4 von 8

37 4 B => B(), S => S(), Co => int ); FA: Volladdierer PORT MAP( A => A(), B => B(), Ci => int, S => S(), Co => int2 ); FA2: Volladdierer PORT MAP( A => A(2), B => B(2), Ci => int2, S => S(2), Co => Co ); END structural; 4.2 Der Ausgang des Carry-Ripple-Addierers soll in einer 7-segment Anzeige als einstellige Hexadezimalzahl dargestellt werden. Dafür wird ein Kodierer für 4-Bit zu 7- Segment Anzeige benötigt. a) Vervollständigen Sie in Abb. 4.4 den VHDL-Code der entity Coder indem Sie die Ports der Komponente definieren. Entity Coder is port( D : in std_logic_vector(3 downto ); SEG : out std_logic_vector(6 downto ) ); end Coder; b) Vervollständigen Sie die Wahrheitstabelle des Coders in Abb. 4.5! Integrierte Digitalschaltungen H - Seite 5 von 8

38 4 Abb. 4.4: 7-segment Anzeige Coder. SEG(6) to SEG()) D(3) D(2) D() D() Abb. 4.5: Wahrheitstabelle für den 7-segment Anzeige Coder. c) Vervollständigen Sie die Karnaugh-Graphen in Abb 4.6 zur Ansteuerung des Segment SEG(4)! SEG(4) = D() D() + D() D(2) + D() D(3) + D(2) D(3) SEG(4) = D() (D() + D(2)) + (D() + D(2)) D(3) Integrierte Digitalschaltungen H - Seite 6 von 8

39 4 Abb. 4.6: Karnaugh-Graphen für das Segment SEG(4). d) Zeichnen Sie die Logikschaltung zur Steuerung des Segments SEG(4) unter Verwendung der folgenden Gatter (AND, OR, INV)! (s. Abb. 4.7) Abb. 4.7: Logikschaltung für das Segment SEG(4). e) Vervollständigen sie die architecture im folgenden VHDL-Code indem Sie die Funktionalität der Komponente Coder als Verhaltensbeschreibung einfügen! architecture behavioral of Coder is begin Integrierte Digitalschaltungen H - Seite 7 von 8

40 4 process(d) begin case D is when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when "" => SEG <= ""; when others => SEG <= ""; end case; end process; end behavioral; Integrierte Digitalschaltungen H - Seite 8 von 8

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert

Wintersemester 2001/2002. Hardwarepraktikum. Versuch 4: Sequentielle Systeme 1. - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Hardwarepraktikum Wintersemester 2001/2002 Versuch 4: Sequentielle Systeme 1 - Toralf Zemlin - Swen Steinmann - Sebastian Neubert Aufgabenstellung: 2.1. Untersuchen Sie theoretisch und praktisch die Wirkungsweise

Mehr

Einführung in. Logische Schaltungen

Einführung in. Logische Schaltungen Einführung in Logische Schaltungen 1/7 Inhaltsverzeichnis 1. Einführung 1. Was sind logische Schaltungen 2. Grundlegende Elemente 3. Weitere Elemente 4. Beispiel einer logischen Schaltung 2. Notation von

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Digitaltechnik. TI-Tutorium. 29. November 2011

Digitaltechnik. TI-Tutorium. 29. November 2011 Digitaltechnik TI-Tutorium 29. November 2011 Themen Schaltsymbole Transistoren CMOS nächstes Übungsblatt 2 Aufgaben Schaltsymbole Widerstand npn-transistor Widerstand pnp-transistor Glühlampe pmos Transistor

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Physik in der Praxis: Elektronik

Physik in der Praxis: Elektronik MATHEMATISCH-NATURWISSENSCHAFTLICHE FAKULTÄT I INSTITUT FÜR PHYSIK Physik in der Praxis: Elektronik Bonus-Versuch: Feldeffekt-Transistoren und Einführung in die CMOS-Logik Abgabe am 20.02.2011 Übungsgruppe

Mehr

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter

Labor Mikroelektronik. Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich. Versuch 2: CMOS-Inverter Labor Mikroelektronik Prof. Dr.-Ing. Frank Kesel Dipl.-Ing.(FH) Manuel Gaiser Dipl.-Ing.(FH) Uwe Halmich Versuch 2: CMOS-Inverter Stand: 19.4.2010 1 Aufgabenstellung Sie sollen in diesem Versuch einen

Mehr

Skalierung des Ausgangssignals

Skalierung des Ausgangssignals Skalierung des Ausgangssignals Definition der Messkette Zur Bestimmung einer unbekannten Messgröße, wie z.b. Kraft, Drehmoment oder Beschleunigung, werden Sensoren eingesetzt. Sensoren stehen am Anfang

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch T-1. Kontaktlogik. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRATIUM Versuch T-1 ontaktlogik Fachbereich Informatik Universität aiserslautern eite 2 Versuch T-1 Versuch T-1 Vorbemerkungen chaltnetze lassen sich in drei lassen einteilen: 1. chaltnetze vom

Mehr

Speicherung von Signalen - Flipflops, Zähler, Schieberegister

Speicherung von Signalen - Flipflops, Zähler, Schieberegister Lehrbehelf für Prozessregelung und echnerverbund, 3. Klasse HTL Speicherung von Signalen - Flipflops, Zähler, Schieberegister S - Flipflop Sequentielle Schaltungen unterscheiden sich gegenüber den kombinatorischen

Mehr

Technische Informatik Basispraktikum Sommersemester 2001

Technische Informatik Basispraktikum Sommersemester 2001 Technische Informatik Basispraktikum Sommersemester 2001 Protokoll zum Versuchstag 4 Datum: 21.6.2001 Gruppe: David Eißler/ Autor: Verwendete Messgeräte: - digitales Experimentierboard (EB6) - Netzgerät

Mehr

Daten, Informationen, Kodierung. Binärkodierung

Daten, Informationen, Kodierung. Binärkodierung Binärkodierung Besondere Bedeutung der Binärkodierung in der Informatik Abbildung auf Alphabet mit zwei Zeichen, in der Regel B = {0, 1} Entspricht den zwei möglichen Schaltzuständen in der Elektronik:

Mehr

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik

Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Projekt 2HEA 2005/06 Formelzettel Elektrotechnik Teilübung: Kondensator im Wechselspannunskreis Gruppenteilnehmer: Jakic, Topka Abgabedatum: 24.02.2006 Jakic, Topka Inhaltsverzeichnis 2HEA INHALTSVERZEICHNIS

Mehr

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer

Grundlagen der Technischen Informatik. Sequenzielle Netzwerke. Institut für Kommunikationsnetze und Rechnersysteme. Paul J. Kühn, Matthias Meyer Institut für Kommunikationsnetze und Rechnersysteme Grundlagen der Technischen Informatik Paul J. Kühn, Matthias Meyer Übung 2 Sequenzielle Netzwerke Inhaltsübersicht Aufgabe 2.1 Aufgabe 2.2 Prioritäts-Multiplexer

Mehr

KLAUSUR DIGITALTECHNIK SS 00

KLAUSUR DIGITALTECHNIK SS 00 Aufgabe 1 (20P) KLAUSUR DIGITALTECHNIK SS 00 Entwerfen Sie ein Flipflop unter ausschließlicher Verwendung eines Dreifach-UND und dreier Zweifach-ODER. Beschreiben Sie das Verhalten ( Zustandsdiagramm,

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen Elektronik Praktikum / Digitaler Teil Name: Jens Wiechula, Philipp Fischer Leitung: Prof. Dr. U. Lynen Protokoll: Philipp Fischer Versuch: 3 Datum: 24.06.01 RS-Flip Flop, D-Flip Flop, J-K-Flip Flop, Zählschaltungen

Mehr

Versuch 3. Frequenzgang eines Verstärkers

Versuch 3. Frequenzgang eines Verstärkers Versuch 3 Frequenzgang eines Verstärkers 1. Grundlagen Ein Verstärker ist eine aktive Schaltung, mit der die Amplitude eines Signals vergößert werden kann. Man spricht hier von Verstärkung v und definiert

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,7 - Atomdichte N cm 3 5,0 0 22 2,2 0 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Professionelle Seminare im Bereich MS-Office

Professionelle Seminare im Bereich MS-Office Der Name BEREICH.VERSCHIEBEN() ist etwas unglücklich gewählt. Man kann mit der Funktion Bereiche zwar verschieben, man kann Bereiche aber auch verkleinern oder vergrößern. Besser wäre es, die Funktion

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe

Digitalelektronik 4 Vom Transistor zum Bit. Stefan Rothe Digitalelektronik 4 Vom Transistor zum Bit Stefan Rothe 2015 04 21 Rechtliche Hinweise Dieses Werk von Thomas Jampen und Stefan Rothe steht unter einer Creative Commons Attribution-Non- Commercial-ShareAlike-Lizenz.

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜ INFOMATIK TECHNICHE UNIVEITÄT MÜNCHEN Lehrstuhl für echnertechnik und echnerorganisation Prof. Dr. Arndt Bode Einführung in die echnerarchitektur Wintersemester 2015/2016 Zentralübung 10 08.01.2016

Mehr

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster

Stellen Sie bitte den Cursor in die Spalte B2 und rufen die Funktion Sverweis auf. Es öffnet sich folgendes Dialogfenster Es gibt in Excel unter anderem die so genannten Suchfunktionen / Matrixfunktionen Damit können Sie Werte innerhalb eines bestimmten Bereichs suchen. Als Beispiel möchte ich die Funktion Sverweis zeigen.

Mehr

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall

Aufgaben. 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen. Der High-Fall Aufgaben 2.1. Leiten Sie die Formeln (9) und (10) her! Vorbetrachtungen I. Die open-collector-gatter auf der "in"-seite dürfen erst einen High erkennen, wenn alle open-collector-gatter der "out"-seite

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Elektrische Spannung und Stromstärke

Elektrische Spannung und Stromstärke Elektrische Spannung und Stromstärke Elektrische Spannung 1 Elektrische Spannung U Die elektrische Spannung U gibt den Unterschied der Ladungen zwischen zwei Polen an. Spannungsquellen besitzen immer zwei

Mehr

Labor Grundlagen der Elektrotechnik

Labor Grundlagen der Elektrotechnik Gruppe: S4 Versuch I2-5 Hendrik Schwarz, Edgar Nanninga 19.10.2000 1/ 8 Digitale integrierte Schaltungen 1.0 Aufgaben zur Vorbereitung 1.1 0 0 0 0 1 1 1 0 1 1 1 0 Funktionstabelle 1.2 Inverter SN7404 Pegel

Mehr

10. Elektrische Logiksysteme mit

10. Elektrische Logiksysteme mit Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 10. Elektrische Logiksysteme mit Rückführung Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski Versuch ausgeführt: 22. Juni

Mehr

Elektrische Logigsystem mit Rückführung

Elektrische Logigsystem mit Rückführung Mathias Arbeiter 23. Juni 2006 Betreuer: Herr Bojarski Elektrische Logigsystem mit Rückführung Von Triggern, Registern und Zählern Inhaltsverzeichnis 1 Trigger 3 1.1 RS-Trigger ohne Takt......................................

Mehr

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung

Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Eigenschaften von Zählerschaltungen (1) 1 1. Richtung Vorwärts Vorwärtszählen entspricht einer fortlaufenden 1-Addition Rückwärts Rückwärtszählen entspricht einer fortlaufenden 1-Subtraktion 2. Verwendeter

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Versuch Nr. 8c Digitale Elektronik I

Versuch Nr. 8c Digitale Elektronik I Institut für ernphysik der Universität zu öln Praktikum M Versuch Nr. 8c Digitale Elektronik I Stand 14. Oktober 2010 INHALTSVERZEICHNIS 1 Inhaltsverzeichnis 1 Einführung 2 1.1 Motivation....................................

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

Laborübung Gegentaktendstufe Teil 1

Laborübung Gegentaktendstufe Teil 1 Inhaltsverzeichnis 1.0 Zielsetzung...2 2.0 Grundlegendes zu Gegentaktverstärkern...2 3.0 Aufgabenstellung...3 Gegeben:...3 3.1.0 Gegentaktverstärker bei B-Betrieb...3 3.1.1 Dimensionierung des Gegentaktverstärkers

Mehr

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum

Praktikum Nr. 3. Fachhochschule Bielefeld Fachbereich Elektrotechnik. Versuchsbericht für das elektronische Praktikum Fachhochschule Bielefeld Fachbereich Elektrotechnik Versuchsbericht für das elektronische Praktikum Praktikum Nr. 3 Manuel Schwarz Matrikelnr.: 207XXX Pascal Hahulla Matrikelnr.: 207XXX Thema: Transistorschaltungen

Mehr

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik

Humboldt-Universität zu Berlin Institut für Physik. 1. Einführung. Seite 1 von 9. Versuch 8 Digitale Logik Humboldt-Universität zu Berlin Institut für Physik 1. Einführung Versuch 8 Digitale Logik Im Unterschied zu analogen oder linearen Schaltungen sind logische Schaltungen zur Übertragung zweier bestimmter

Mehr

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1

Rechnerarchitektur. Zustand Anzeige Untergeschoss U Erdgeschoss E 1. Stock 1 Prof. Dr. K. Wüst WS 2006/2007 FH Gießen Friedberg, FB MNI Studiengang Informatik Rechnerarchitektur 1. Hausübung, WS 2006/2007 Aufg.1: Entwurf einer Zustandsanzeige für einen Aufzug An der Einstiegsstelle

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

Übung 1 RS-FFs mit NOR- oder NAND-Gattern

Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übung 1 RS-FFs mit NOR- oder NAND-Gattern Übungsziel: Aufbau eines RS-Flipflops mit NOR- oder NAND-Gattern Wahrheitstabelle: S (Setzen) R (Rücksetzen) Q m (Aktueller Zustand) Q m+1 (Nächster Zustand) 0

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Klausur zur Vorlesung

Klausur zur Vorlesung Prof. Dr. Franz J. Rammig Paderborn, 2..2001 C. Böke Klausur zur Vorlesung "Grundlagen der technischen Informatik" und "Grundlagen der Rechnerarchitektur" Sommersemester 2001 1. Teil: GTI Der erste Teil

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2012/13 28. November 2012 Rechnertechnologie III Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners // jan.wieners@uni-koeln.de

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

Zeichen bei Zahlen entschlüsseln

Zeichen bei Zahlen entschlüsseln Zeichen bei Zahlen entschlüsseln In diesem Kapitel... Verwendung des Zahlenstrahls Absolut richtige Bestimmung von absoluten Werten Operationen bei Zahlen mit Vorzeichen: Addieren, Subtrahieren, Multiplizieren

Mehr

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4

1. Kennlinien. 2. Stabilisierung der Emitterschaltung. Schaltungstechnik 2 Übung 4 1. Kennlinien Der Transistor BC550C soll auf den Arbeitspunkt U CE = 4 V und I C = 15 ma eingestellt werden. a) Bestimmen Sie aus den Kennlinien (S. 2) die Werte für I B, B, U BE. b) Woher kommt die Neigung

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430

Elektronikpraktikum - SS 2014 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 02-413 (Anfängerpraktikum) 1. Stock, Raum 430 Elektronikpraktikum - SS 24 H. Merkel, D. Becker, S. Bleser, M. Steinen Gebäude 2-43 (Anfängerpraktikum). Stock, Raum 43 Serie 7: Digitale Schaltungen./.7.24 I. Ziel der Versuche Verständnis für Entwurf

Mehr

9 Multiplexer und Code-Umsetzer

9 Multiplexer und Code-Umsetzer 9 9 Multiplexer und Code-Umsetzer In diesem Kapitel werden zwei Standard-Bauelemente, nämlich Multiplexer und Code- Umsetzer, vorgestellt. Diese Bausteine sind für eine Reihe von Anwendungen, wie zum Beispiel

Mehr

Funktion Erläuterung Beispiel

Funktion Erläuterung Beispiel WESTFÄLISCHE WILHELMS-UNIVERSITÄT WIRTSCHAFTSWISSENSCHAFTLICHE FAKULTÄT BETRIEBLICHE DATENVERARBEITUNG Folgende Befehle werden typischerweise im Excel-Testat benötigt. Die Beispiele in diesem Dokument

Mehr

Fachbereich Physik Dr. Wolfgang Bodenberger

Fachbereich Physik Dr. Wolfgang Bodenberger UniversitätÉOsnabrück Fachbereich Physik Dr. Wolfgang Bodenberger Der Transistor als Schalter. In vielen Anwendungen der Impuls- und Digital- lektronik wird ein Transistor als einfacher in- und Aus-Schalter

Mehr

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland

OECD Programme for International Student Assessment PISA 2000. Lösungen der Beispielaufgaben aus dem Mathematiktest. Deutschland OECD Programme for International Student Assessment Deutschland PISA 2000 Lösungen der Beispielaufgaben aus dem Mathematiktest Beispielaufgaben PISA-Hauptstudie 2000 Seite 3 UNIT ÄPFEL Beispielaufgaben

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Der Avalanche-Generator. Funktionsprinzip und Versuche

Der Avalanche-Generator. Funktionsprinzip und Versuche Der Avalanche-Generator Funktionsprinzip und Versuche ACHTUNG: In der hier beschrieben Schaltung treten Spannungen über 50V auf!!! 1(7) Das Avalanche-Prinzip Der Avalanche-Effekt ( avalanche = Lawine )

Mehr

ELEXBO A-Car-Engineering

ELEXBO A-Car-Engineering 1 Aufgabe: -Bauen Sie alle Schemas nacheinander auf und beschreiben Ihre Feststellungen. -Beschreiben Sie auch die Unterschiede zum vorherigen Schema. Bauen Sie diese elektrische Schaltung auf und beschreiben

Mehr

Elektrische Energie, Arbeit und Leistung

Elektrische Energie, Arbeit und Leistung Elektrische Energie, Arbeit und Leistung Wenn in einem Draht ein elektrischer Strom fließt, so erwärmt er sich. Diese Wärme kann so groß sein, dass der Draht sogar schmilzt. Aus der Thermodynamik wissen

Mehr

Institut für Informatik. Aufgaben zu Übung Grundlagen der Technischen Informatik 1. 4. Aufgabenkomplex Technologie logischer Schaltungen

Institut für Informatik. Aufgaben zu Übung Grundlagen der Technischen Informatik 1. 4. Aufgabenkomplex Technologie logischer Schaltungen UNIVRSITÄT LIPZIG Institut für Informatik Studentenmitteilung. Semester - WS 22 Abt. Technische Informatik Gerätebeauftragter Dr. rer.nat. Hans-Joachim Lieske Tel.: [49]-34-97 3223 Zimmer: HG 2-37 e-mail:

Mehr

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf:

1. Übung aus Digitaltechnik 2. 1. Aufgabe. Die folgende CMOS-Anordnung weist einen Fehler auf: Fachhochschule Regensburg Fachbereich Elektrotechnik 1. Übung aus Digitaltechnik 2 1. Aufgabe Die folgende CMOS-Anordnung weist einen Fehler auf: A B C p p p Y VDD a) Worin besteht der Fehler? b) Bei welcher

Mehr

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1

9 Flipflops (FF) Basis-FF. (Auffang-FF, Latch) praxis verstehen chancen erkennen zukunft gestalten 9-1 9 Flipflops (FF) Digitale chaltungen Unterteilung der Flipflops: Es gibt bistabile, monostabile und astabile Kippstufen. Bistabile FF s werden als Flipflops bezeichnet. FF s weisen zwei stabile Zustände

Mehr

Übungen zu Informatik 1

Übungen zu Informatik 1 Communication Systems Group (CSG) Prof. Dr. Burkhard Stiller, Universität Zürich, Binzmühlestrasse 14, CH-8050 Zürich Telefon: +41 44 635 6710, Fax: +41 44 635 6809, stiller@ifi.uzh.ch Fabio Hecht, Telefon:

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC

Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Anleitung Laborpraktikum VLSI-Technik: Versuch 3 / Verhalten des realen IC Inhalt 1. Einleitung... 1 2. Erstellen eines Inverters... 1 3. Erstellen eines Blockes / Modules... 14 4. Bau eines komplexen

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015

Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 Ü bung GIT- Teil Nachrichtentechnik, 17.11.2015 1 OSI Schichtenmodell Systeme der Nachrichtentechnik werden häufig mittels des OSI-Referenzmodells charakterisiert. a) Benennen Sie die Schichten des OSI-Referenzmodells!

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W

P = U eff I eff. I eff = = 1 kw 120 V = 1000 W Sie haben für diesen 50 Minuten Zeit. Die zu vergebenen Punkte sind an den Aufgaben angemerkt. Die Gesamtzahl beträgt 20 P + 1 Formpunkt. Bei einer Rechnung wird auf die korrekte Verwendung der Einheiten

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:...

Arbeitsbereich Technische Aspekte Multimodaler Systeme. Praktikum der Technischen Informatik T1 2. Flipflops. Name:... Universität Hamburg, Fachbereich Informatik Arbeitsbereich Technische Aspekte Multimodaler Systeme Praktikum der Technischen Informatik T1 2 Flipflops Name:... Bogen erfolgreich bearbeitet:... Versuch

Mehr

Klausur am im Fach Integrierte Digitalschaltungen

Klausur am im Fach Integrierte Digitalschaltungen Klausur am 3.0.06 im Fach Integrierte Digitalschaltungen. Füllen Sie bitte die folgenden Zeilen aus: Dauer: Stunden Matr.-Nr.: 0 8 Nachname: Vorname: Unterschrift:. Die Klausur besteht aus insgesamt 7

Mehr

Finanzwirtschaft. Teil II: Bewertung

Finanzwirtschaft. Teil II: Bewertung Zeitwert des Geldes 1 Finanzwirtschaft Teil II: Bewertung Zeitwert des Geldes Zeitwert des Geldes 2 Bewertung & Zeitwert des Geldes Finanzwirtschaft behandelt die Bewertung von Real- und Finanzwerten.

Mehr

Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben.

Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben. Für alle Rechnungen aller Aufgabenteile gilt: T = 300 K und n i = 1 10 10 cm 3 sofern nicht anders angegeben. Aufgabe 1: Halbleiterphysik I Punkte 1.1) Skizzieren Sie das Bändermodell eines p-halbleiters.

Mehr

Stellvertretenden Genehmiger verwalten. Tipps & Tricks

Stellvertretenden Genehmiger verwalten. Tipps & Tricks Tipps & Tricks INHALT SEITE 1. Grundlegende Informationen 3 2.1 Aktivieren eines Stellvertretenden Genehmigers 4 2.2 Deaktivieren eines Stellvertretenden Genehmigers 11 2 1. Grundlegende Informationen

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage:

1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Zählen und Zahlbereiche Übungsblatt 1 1. Man schreibe die folgenden Aussagen jeweils in einen normalen Satz um. Zum Beispiel kann man die Aussage: Für alle m, n N gilt m + n = n + m. in den Satz umschreiben:

Mehr

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses)

Frequenzgang eines RC-Tiefpasses (RC-Hochpasses) 51 Frequenzgang eines RC-Tiepasses (RC-Hochpasses) EBll-2 Augabe In dieser Übung soll ein RC-Tiepaß bzw. wahlweise eln RC- Hochpaß mit R = 10 kq und C = 22 nf augebaut und Deßtechnisch untersucht werden.

Mehr

Die Größe von Flächen vergleichen

Die Größe von Flächen vergleichen Vertiefen 1 Die Größe von Flächen vergleichen zu Aufgabe 1 Schulbuch, Seite 182 1 Wer hat am meisten Platz? Ordne die Figuren nach ihrem Flächeninhalt. Begründe deine Reihenfolge. 1 2 3 4 zu Aufgabe 2

Mehr

Anzahl Pseudotedraden: Redundanz: Weitere Eigenschaften?

Anzahl Pseudotedraden: Redundanz: Weitere Eigenschaften? 1. Aufgabe: Aiken-Code Erstellen Sie die Codetabelle für einen Aiken-Code. Dieser Code hat die Wertigkeit 2-4-2-1. Tipp:Es gibt hier mehrere Lösungen, wenn nicht die Bedingung Aiken-Code gegeben wäre.

Mehr

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops)

Einteilung der Kippschaltungen (Schaltwerke) (=Flipflops) 6. Sequentielle Schaltungen: 6.1. Grundsätzliche Aussage zu Flipflop Unterschiede zwischen kombinatorischen und sequentiellen Schaltungen: Kombinatorische Schaltungen: - Ausgänge sind nur vom Zustand der

Mehr

Statistische Thermodynamik I Lösungen zur Serie 1

Statistische Thermodynamik I Lösungen zur Serie 1 Statistische Thermodynamik I Lösungen zur Serie Zufallsvariablen, Wahrscheinlichkeitsverteilungen 4. März 2. Zwei Lektoren lesen ein Buch. Lektor A findet 2 Druckfehler, Lektor B nur 5. Von den gefundenen

Mehr

Übungsaufgaben zum 5. Versuch 13. Mai 2012

Übungsaufgaben zum 5. Versuch 13. Mai 2012 Übungsaufgaben zum 5. Versuch 13. Mai 2012 1. In der folgenden Schaltung wird ein Transistor als Schalter betrieben (Kennlinien s.o.). R b I b U b = 15V R c U e U be Damit der Transistor möglichst schnell

Mehr

Simulation LIF5000. Abbildung 1

Simulation LIF5000. Abbildung 1 Simulation LIF5000 Abbildung 1 Zur Simulation von analogen Schaltungen verwende ich Ltspice/SwitcherCAD III. Dieses Programm ist sehr leistungsfähig und wenn man weis wie, dann kann man damit fast alles

Mehr

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen)

Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Der Kondensator Kondensatoren ( Verdichter, von lat.: condensus: dichtgedrängt, bezogen auf die elektrischen Ladungen) Kondensatoren sind Bauelemente, welche elektrische Ladungen bzw. elektrische Energie

Mehr

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop

1. Speicherbausteine. 1.1. JK-RS-Master-Slave-Flip-Flop 1. Speicherbausteine 1.1. JK-RS-Master-Slave-Flip-Flop Dieser Speicherbaustein (Kurz JK-RS) hat 5 Eingänge (J,K,R,S und Clk) und zwei Ausgänge ( und ). Funktion Werden die Eingänge J,K und Clock auf 0

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3

Lineare Funktionen. 1 Proportionale Funktionen 3 1.1 Definition... 3 1.2 Eigenschaften... 3. 2 Steigungsdreieck 3 Lineare Funktionen Inhaltsverzeichnis 1 Proportionale Funktionen 3 1.1 Definition............................... 3 1.2 Eigenschaften............................. 3 2 Steigungsdreieck 3 3 Lineare Funktionen

Mehr

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist.

Geneboost Best.- Nr. 2004011. 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. Geneboost Best.- Nr. 2004011 1. Aufbau Der Stromverstärker ist in ein Isoliergehäuse eingebaut. Er wird vom Netz (230 V/50 Hz, ohne Erdung) gespeist. An den BNC-Ausgangsbuchsen lässt sich mit einem störungsfreien

Mehr