Lösung 5. Mikroprozessor & Eingebettete Systeme 1

Größe: px
Ab Seite anzeigen:

Download "Lösung 5. Mikroprozessor & Eingebettete Systeme 1"

Transkript

1 Lösung 5 Mikroprozessor & Eingebettete Systeme 1 WS2015 / 2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den Einstieg in weiterführende Literatur erleichtern. Thema: Systembus und Adressdekodierung Lösungsblatt Nr.: 5 Datum:

2 Aufgabe Erklären Sie das Verhalten eines synchronen und eines asynchronen Busses. Skizzieren Sie für das beschriebene Verhalten jeweils ein Zeitdiagramm. Synchron Bus: Eigenschaften eines Synchron Buses (taktgesteuert): - Takt (CLK benötigt) - Fixierter Protokoll für Datenübertragung, das abhängig vom Systemtakt T T A T B CLK ( T = 100 ns ) A 0-N Adressen D 0-M Daten Lesen D 0-M Daten Schreiben Bild 5.1 Synchron Bus Zu Beginn der positiven Halbwelle TA des Systemtaktes wird eine gültige Adresse auf den Adressbus gelegt. Die Auswahl der Übertragungsrichtung (Lesen bzw. Schreiben) erfolgt durch die Steuerleitung. Beim Lesen erscheinen gültige Daten während der negativen Halbwelle TB auf dem Datenbus. Sie werden vom Prozessor mit der positiven Flanke des Takt-signals übernommen. Beim Schreiben ( = 0) legt der Prozessor mit Beginn der negativen Halbwelle TB die Daten auf den Bus. Die Übernahme in den Speicher (Peripherie) wird mit der positiven Flanke von ausgelöst. Synchrone Buszyklen sind nur mit relativ niedriger Taktfrequenz möglich. Beispielsweise beträgt bei 10 MHz die Taktperiode 100 ns, die Zeiten TA und TB jeweils 50 ns. Diese Zeit ist gerade noch ausreichend, um schnelle Speicher anzusprechen. Asynchron Bus: Eigenschaften eines Asynchron Buses: (ereignisgesteuert) - Beim asynchronen Systembus wird auf die starre Kopplung zwischen System-takt und Datenübernahme verzichtet. 2

3 -Die Synchronisation erfolgt durch Handshake (Quittierung) Signale für die Gültigkeit von Adressen und Daten CLK A 0-N Adressen AS DTACK D 0-M Daten Lesen D 0-M Daten Schreiben Bild 5.2 Asynchron Bus Beim Vorliegen einer gültigen Adresse erzeugt die CPU das Signal AS (Address-Strobe), was von der angesprochenen Komponente erkannt wird. Die Richtung des Datentransfers wird wieder durch gesteuert. Beim Lesen gibt die angesprochene Komponente das Datum auf den Daten bus und informiert die CPU darüber mit dem Signal DTACK (Data Transfer Acknowledge). Zwischen der Aktivierung von AS und DTACK kann eine beliebig lange Zeit vergehen. Die CPU übernimmt das Datum mit einer nachfolgenden Taktflanke und deaktiviert AS. Beim Schreiben legt die CPU das Datum auf den Datenbus (nach Adresse und AS), das so lange gültig bleibt, bis die Komponente durch DTACK die Übernahme quittiert. 1.2 Nennen Sie die Vor- und Nachteile von synchronen und asynchronen Bussen. Synchroner Bus Vorteile -Einfacher Aufbau der Hardware (weniger Leitungen) -Übertragungsgeschwindigkeit schnell (mit schnellen Bausteinen) -Gut tastbar (festes Zeitfenster für die Übertragung) Asynchroner Bus -Mehrere Bausteine können verfügt werden -lange Übertragungsstrecke realisierbar (weil da keine Besorgnis für Taktänderung hat) 3

4 Nachteile -alle Bausteine sollen mit gleicher Geschwindigkeit (der langsamste Baustein bestimmt die Übertragung) -nur kurze Übertragungsstrecke möglich, wegen der Taktverschiebung -Komplexer Aufbau der Hardware (mehr Leitungen) -Übertragungsgeschwindigkeit(zwischen Adressierung und Datenübernahme eine beliebig lange Zeit möglich) Aufgabe 2 Vergleichen Sie die maximale Bandbreite eines Speicher-Lesezykluses für einen synchronen und einen asynchronen Bus, wenn die Speicherzugriffszeit 100ns beträgt. Der Bus weist folgende Eigenschaften auf: - Busbreite 32 Bit - synchroner Bus: o Taktzykluszeit 50ns o Jede Übertragung benötigt 1 Taktzyklus -asynchroner Bus: o Jedes Quittierungssignal ( Handshake ) dauert 50ns Synchroner Bus: 1. Adresse zum Speicher (zum Slave) senden - 50 ns 2. Daten vom Speicher (vom Slave) lesen- 100 ns 3. Daten zum Mikroprozessor (Master) senden - 50ns Insgesamt = 200 ns Maximum Bandbreite 4 Bytes/200ns = 20 MB/sek. Asynchroner Bus: 1. Adresse zum Speicher (zum Slave) senden - 50ns 2. Beim Vorliegen einer gültigen Adresse erzeugt der Mikroprozessor (Master) das Signal AS (Address-Strobe) -50ns (Quittierung) 3. Daten vom Speicher (Slave) lesen -100ns (Zwischen der Aktivierung von AS und DTACK kann eine beliebig lange Zeit vergehen- Zugriffszeit) 4. Speicher (Slave) sendet zum Mikroprozessor (Master) DTACK (Data Acknowledge) - 50ns (Quittierung) 5. Daten zum Mikroprozessor (Master) senden - 50ns Insgesamt = 300 ns Maximum Bandbreite 4 Bytes/300ns = 13,33 MB/sek 4

5 Aufgabe Aus welchen Gründen werden Mikroprozessoren mit Multiplexbus eingesetzt? Ein Multiplexbus wird meistens dann eingesetzt, wenn die Anzahl der Pins eines Prozessorgehäuses aus technischen oder Kostengründen minimiert werden muss. Das heißt, wegen Prozessorgehäuse und Aufwand, zur Einsparung von Busleitungen wird häufig Multiplex Bus verwendet. Als Multiplexbus bezeichnet man einen Bus, dessen einzelne Datenleitungen sequentiell von verschiedenen Signalen des Mikroprozessors belegt werden können. Einige Prozessoren verwenden die gleichen Anschlüsse für Daten und Adressen im Zeitmultiplex. 3.2 Wie werden die Adressen und Daten separiert? Zeichnen Sie den prinzipiellen Aufbau eines Mikroprozessorsystems mit einem Multiplexbus. Die entsprechenden Adressbits Die entsprechenden Adressbits Die entsprechenden Adressbits Die entsprechenden Adressbits müssen in einem externen Latch zwischengespeichert werden. Zur Übernahme erzeugt das Steuerwerk ein Übernahme Signal VMA, ALE oder ADS. Bild 5.3 zeigt das Timing T CLK T 1 T 2 T 3 T 4 AD 0 -K Adresse Daten ALE A K+1 - N Adresse Bild 5.3 Multiplexed Bus Timing 5

6 Für die Multiplex Bus, welches Signal auf der gemeinsamen Busleitung angelegt wird, entscheidet ein Multiplexer (d.h. ein Selektionsbaustein) in der Systembus-Schnittstelle des Prozessors. Für die Separat des Signals auf gemeinsamen Bus wird häufig ein externer Latch Buffer als Zwischenspeicher verwendet. Aufgabe Schließen Sie ein ROM mit 4 KiB Speicher und 8-Bit breitem Adressbus (4 KiB x 8) so an, dass dieses ab Adresse 0x0000 ansprechbar ist. Erstellen Sie die zugehörige Adressmap. A0 A4 A8 A A3 A7 A11 A15 A0 - A3... CPU CS ROM (4KiBx8) D0 - D7 D0 - D7 Adress-Bits ROM Bereich (in Hex) 6

7 Die Schritte für eine Adressdekodierung: - die Grenzen definieren 4K-ROM: 4K=22*210 = Adressleitung : A0-A11 - die Zugriffsbreite definieren 8 Bit - die Anfangsadresse erstellen -h den Adressmap (Adressbereich) erstellen - die Schaltung skizzieren Bild 5.5: Adressdekodierung für 4KROM im 8Bit Mikroprozessor System 7

8 4.2 Wenn das ROM ab Adresse 0x9000 ansprechbar ist, wie ändern sich die Schaltung und die Adressmap? Adress-Bits ROM Bereich (in Hex) Aufgabe Erläutern Sie die Vor- und Nachteile der vollständigen und unvollständigen Adressierung. Vollständig: Die Adressierung jedes Bausteins wird unter Verwendung aller Adressleitungen decodiert. Vorteil: Dies hat den Vorteil, dass periphere Systemgeräte und Speicher über eindeutige Adressen erreichbar sind es findet keine Adressspiegelung statt. Somit werden mögliche Programmierfehler vermieden. Weitere Bausteine sind problemlos zuschaltbar- Erweiterung problemlos. Nachteil: Mehr Hardware nötig. Unvollständig: Falls in einer Schaltung eine oder mehrere Adressleitungen nicht berücksichtigt werden, spricht man von einer unvollständigen Adressdekodierung. Es wird nur eine Minimalzahl von Adressleitungen ausgewertet. Der Speicher und die E/A-Schnittstellen erscheinen mehrmals unter verschiedenen Adressen. Vorteil: Minimaler Schaltungsaufwand. Nachteil: Adressraum wird vollständig verbraucht. 5.2 Betrachten Sie nochmals die Schaltung aus Aufgabe 4.1. Was passiert, wenn Sie die Adressleitung A13 nicht berücksichtigen. 8

9 Es gibt 2 Möglichkeiten: entweder A13 = 0 oder A13= 1. In beiden Fällen wird der ROM-Baustein selektiert. Hier wird eine Adressspiegelung (2fach) erzeugt. Bei dieser Art von Adressdecodierungen kann eine Adressspiegelung auftreten. Bei dem betrachteten Beispiel würde der Speicher nicht nur unter der geforderten Adresse h 0000 sondern auch unter die Adressen h 2000 angesprochen werden können. Dies kann bei unsauberer Programmierung zu Problemen führen. z.b.: Wenn man in der Adresse 0x0001 den Wert 0xAA schreiben möchte, wird der Wert nicht nur in der Adresse 0x0001 sondern auch 0x2001 (doppelt) geschrieben. 5.3 Ein 8-Bit breiter Speicherchip mit 11 Adressleitungen ist gegeben und soll mit der CPU aus Aufgabe 4.1 verbunden werden Berechnen Sie die Anfangsadresse des Speichers, wenn seine verfügbare Endadresse 0x7FFF ist. Der Speicher hat 11 Adressleitungen. A0-A = 21*210 = 2KB = h 07FF Wenn die Endadresse h 7FFF ist, dann die Startadresse ist h Geben Sie, ausgehend von der ermittelten Anfangsadresse, alle möglichen Endadressen an, wenn die Adressleitungen A12 und A13 nicht berücksichtigt werden. A12 und A13 sind nicht berücksichtigt 4fache Spiegelung Endadressen: h 4FFF, h 5FFF, h 6FFF, h 7FFF Aufgabe 6 Für ein eingebettetes System mit einem 8-Bit-Mikroprozessor ist ein Speicher aus RAM, ROM und einem Ein-/Ausgabeport aufzubauen. Der Prozessor verfügt zum Anschluss des Speichers über 16 Adressleitungen (A15 bis A0), 8 Datenleitungen (D7 bis D0) sowie über eine Steuerleitung (). Das System besteht aus einem RAM-Baustein (8 KiB x 8) - platziert in der Mitte des Adressraums, (beginnt bei Adresse 0x8000) einem ROM-Baustein (4 KiB x 8) - platziert ganz unten im Adressraum (beginnt bei Adresse 0x0000) einem Ein-/Ausgabeport zur Adressierung der 8 Register (8 x 8 Bit) der PIO (Parallele Schnittstelle) - platziert am Ende des Adressraums (endet bei Adresse 0xFFFF) 6.1 Schreiben Sie die Binärform der Adressen auf, mit denen auf die drei verschiedenen Segmente (RAM, ROM und PIO) zugegriffen werden kann. Erstellen Sie die dazu notwendige Adressmap, und tragen Sie alle Bereiche ein. 9

10 Die Schritte für eine Adressdekodierung: - die Grenzen definieren: 8K-RAM: 8K=23*210 = Adressleitung : A0-A12 4K-ROM: 4K=22*210 = Adressleitung : A0-A11 8 Byte PIO: 8=23 3 Adressleitung : A0- A2 - die Zugriffsbreite definieren 8 Bit - die Anfangsadresse erstellen RAM: Anfangsadresse (ab 32K) : h 8000 ROM: Anfangsadresse (ab 0) : h 0000 PIO: Anfangsadresse : h FFF8 (am Ende des Adressraums) h FFFF-7 =h FFF8 - den Adressmap (Adressbereich) erstellen Baustein Bereich (in Hex) RAM ROM I/O 6.2 Entwickeln Sie den für diese Aufgabenstellung erforderlichen Adressdecoder mit herkömmlichen Logikbausteinen. Dabei soll die Adressdekodierung vollständig sein. Zeichnen Sie das Schaltbild. 10

11 Bild 5.6 :Adressdekodierung für Speicherbausteine (8K RAM, 4K ROM) im Mikroprozessorsystem Aufgabe 7 Entwerfen Sie ein eingebettetes System, bestehenden aus folgenden Komponenten: 1 x Mikroprozessor 1 x RAM (2 KiB x 8) 1 x ROM (1 KiB x 8) 1 x PIO (8 Register x 8) Der Mikroprozessor verfügt über 16 Adressleitungen, 8 Datenleitungen und ein -Signal. Der RAM-Speicherbaustein soll ab der Adresse 0x9000 im Adressraum liegen. Der ROM- 11

12 Speicherbaustein soll am Anfang des Adressraums liegen. Die PIO verfügt über drei Register-Select-Signale (RS0, RS1 u. RS2) und soll am Ende des Adressraumes liegen. 7.1 Erstellen Sie das entsprechende Adressmapping, und tragen Sie alle Adressbereiche ein. Dabei soll es sich um eine vollständige Adressdecodierung handeln. Baustein Bereich (in Hex) RAM ROM I/O 7.2 Entwickeln Sie den für diese Aufgabenstellung erforderlichen Adressdecoder mit herkömmlichen Logikbausteinen. Die Chip-Select-Signale sollen Low-Active sein (d.h. /CS=0). Entwerfen Sie das Schaltbild. 12

13 Bild 5.7 : Mit ODER-Gattern Bild 5.8: Mit UND-Gattern 13

14 7.3 Wie ändert sich das Adressmapping, wenn statt eines RAM-Speicherbausteins vier RAM-Speicherbausteine (jeweils 512 Byte x 8) ab der Adresse 0x9000 anliegen sollen? Hinweis: Die RAM-Bausteine sollen aufeinander folgend im Adressraum liegen! Baustein Bereich (in Hex) RAM0 RAM1 RAM2 RAM3 ROM I/O 14

15 CPU A0-A2 A3-A8 A9 A10 A11 A12 A13 A14 A15 D0-D7 & & & A0-A2 A3-A8 /CS D0-D7 A0-A2 A3-A8 /CS D0-D7 A0-A2 A3-A8 /CS D0-D7 A0-A2 A3-A8 RAM 0 RAM 1 RAM 2 & /CS RAM 3 I/O D0-D7 A0-A2 /CS & A3 A15 & D0-D7 A0-A2 A3-A8 A9 /CS D0-D7 ROM Bild 5.9: 4 RAM-Bausteine 15

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I

Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Übungsklausur Mikroprozessortechnik und Eingebettete Systeme I Aufgabe Punkte Aufgabe 1: / 35 Aufgabe 2: / 25 Aufgabe 3: / 15 Aufgabe 4: / 15 Aufgabe 5: / 35 Aufgabe 6: / 15 Aufgabe 7: / 20 Aufgabe 8:

Mehr

Mikrocomputertechnik. 5. Systembus R/W. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O. Der Ablauf erfolgt in zwei Schritten:

Mikrocomputertechnik. 5. Systembus R/W. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O. Der Ablauf erfolgt in zwei Schritten: 5. Systembus Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Der Ablauf erfolgt in zwei Schritten: o o Anlegen von Adressen und Schreib/LeseRichtung Schreiben bzw. Lesen der Daten

Mehr

Mikrocomputertechnik. Systembus. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O

Mikrocomputertechnik. Systembus. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Systembus Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Der Ablauf erfolgt in zwei Schritten: o Anlegen von Adressen und Schreib/LeseRichtung o Schreiben bzw. Lesen der Daten

Mehr

Adressierung von Speichern und Eingabe- Ausgabegeräten

Adressierung von Speichern und Eingabe- Ausgabegeräten Adressierung von Speichern und Eingabe- Ausgabegeräten Adressdecodierung Die Busstruktur von Prozessorsystemen verbindet die Bauteile über gemeinsame Leitungen. Auf dem Bus darf zu einer Zeit immer nur

Mehr

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER

GRUNDLAGEN DER INFORMATIONSTECHNIK. Übungen TEIL 1 RECHNER GRUNDLAGEN DER INFORMATIONSTECHNIK Übungen TEIL 1 RECHNER Otto-von-Guericke-Universität Magdeburg Fakultät für Elektrotechnik und Informationstechnik Institut für Elektronik, Signalverarbeitung und Kommunikationstechnik

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg

Folie 1. Folie 2. FH-Augsburg. FH-Augsburg Folie 1 Inhaltsverzeichnis 1 1. Inhaltsverzeichnis 1-3 4. Anschluss von Speicher und Peripherie 5. Anschluss eines 16-Bit Speichers 6. Anschluss eines 16-Bit Speichers mit Bytezugriff 7. Abbildung Speicherbits

Mehr

Kapitel 18. Externe Komponenten

Kapitel 18. Externe Komponenten Kapitel 18 Externe Komponenten 31.05.11 K.Kraft E:\MCT_Vorlesung\MCT2011\Externe_31\Externe.odt 18-1 Anschluss von externen Komponenten Einfachste Art : Direkt an einem Port Beispiel Ausgabe : 7-Strich

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Kapitel 16. Externer Bus

Kapitel 16. Externer Bus Kapitel 16 Externer Bus 13.08.12 K.Kraft D:\MCT_Vorlesung\Folien2013\ExtBus_16\ExtBus.odt 16-1 Externer Systembus Skript Bild 22, S. 27 : Prinzip eines Mikrocontrollersystems (80c537) mit externem Speicher

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Rainer Müller Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 R. Müller Erweiterung

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5

Der I²C-Bus. Bearbeitet von: Thomas Finke, EL5 Der I²C-Bus Bearbeitet von: Thomas Finke, EL5 thomas.finke@gmx.de Inhaltsverzeichnis 1. Entstehungsgeschichte des I²C-Bus...3 2. Technik der I²C-Bus...3 2.1 Hardware-Struktur...3 2.2 Das I²C-Protokoll...4

Mehr

Kopplung Interaktion. TI-Übung 6. Kopplung Datenübergabe. RS232 Datenfluss (1) Teilnehmer. Ein-/Ausgabe. Interaktionsarten

Kopplung Interaktion. TI-Übung 6. Kopplung Datenübergabe. RS232 Datenfluss (1) Teilnehmer. Ein-/Ausgabe. Interaktionsarten Kopplung Interaktion TI-Übung 6 Ein-/Ausgabe Andreas I. Schmied (andreas.schmied@uni-ulm.de) AspectIX-Team Abteilung Verteilte Systeme Universität Ulm WS2005 Teilnehmer Prozessor Coprozessor (Co-)Prozessor

Mehr

5 Direct Memory Access

5 Direct Memory Access 5 Direct Memory Access oft werden lange Datenströme aus dem Speicher zur Peripherie ausgegeben, bzw. von der Peripherie in den Speicher eingelesen ( unnötige Belastung der CPU mit trivialen Aufgaben: Inkrementieren

Mehr

5 Direct Memory Access (2) 5 Direct Memory Access. 5 Direct Memory Access (3) 5 Direct Memory Access (4)

5 Direct Memory Access (2) 5 Direct Memory Access. 5 Direct Memory Access (3) 5 Direct Memory Access (4) 5 Direct Memory Access oft werden lange Datenströme aus dem Speicher zur Peripherie ausgegeben, bzw. von der Peripherie in den Speicher eingelesen ( unnötige Belastung der CPU mit trivialen Aufgaben: Inkrementieren

Mehr

Aufgabe 2 - Erweiterung um PIC und Interrupts

Aufgabe 2 - Erweiterung um PIC und Interrupts Aufgabe 2 - Erweiterung um PIC und Interrupts Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS2010/2011 Aufgabe 2 - Erweiterung um

Mehr

E Mikrocontroller-Programmierung

E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E Mikrocontroller-Programmierung E.1 Überblick Mikrocontroller-Umgebung Prozessor am Beispiel AVR-Mikrocontroller Speicher Peripherie Programmausführung Programm laden

Mehr

Schriftliche Prüfung

Schriftliche Prüfung OTTO VON GUERICKE UNIVERSITÄT MAGOEBURG FAKULTÄT FÜR INFORMATIK Schriftliche Prüfung im Fach: Technische Informatik I Studiengang: B (PF IF/IngIF;WPF CV/WIF), M (WPF DigiEng) am: Bearbeitungszeit: 24.

Mehr

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung

Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Themen heute Besprechung des 7. Übungsblattes Speicheraufbau Speichertypen DRAM Speicherbelegung Besprechung des 7. Übungsblattes Aufgabe 4a Der eigentliche Sprung erfolgt in der MEM-Phase (4. Pipeline-Stufe),

Mehr

Cache Grundlagen. Schreibender Cache Zugriff. SS 2012 Grundlagen der Rechnerarchitektur Speicher 22

Cache Grundlagen. Schreibender Cache Zugriff. SS 2012 Grundlagen der Rechnerarchitektur Speicher 22 Cache Grundlagen Schreibender Cache Zugriff SS 212 Grundlagen der Rechnerarchitektur Speicher 22 Eine einfache Strategie Schreibt man nur in den Cache, werden Cache und darunter liegender Speicher inkonsistent.

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Klausur Mikroprozessortechnik

Klausur Mikroprozessortechnik 1 Prof. Dr. K. Wüst WS 2001 FH Gießen Friedberg, FB MNI Studiengang Informatik Nachname: Vorname: Matrikelnummer: Klausur Mikroprozessortechnik 14.9.2001 Punkteverteilung Aufgabe Punkte erreicht 1 3 2

Mehr

Fachbereich Medienproduktion

Fachbereich Medienproduktion Fachbereich Medienproduktion Herzlich willkommen zur Vorlesung im Studienfach: Grundlagen der Informatik Themenübersicht Rechnertechnik und IT Sicherheit Grundlagen der Rechnertechnik Prozessorarchitekturen

Mehr

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009

Busse. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Busse 1/40 2008-10-13 Übersicht 1 Einleitung 2 Bus-Konfiguration

Mehr

Busse. Dr.-Ing. Volkmar Sieh WS 2005/2006. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg

Busse. Dr.-Ing. Volkmar Sieh WS 2005/2006. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg Einleitung Bus-Konfiguration Bus-Arbitrierung Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2005/2006 Einleitung Bus-Konfiguration Bus-Arbitrierung

Mehr

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset.

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset. Quiz Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset 32 Bit Adresse 31 3 29... 2 1 SS 212 Grundlagen der Rechnerarchitektur

Mehr

Vorwort 8. Kap. 1: Grundlagen 10

Vorwort 8. Kap. 1: Grundlagen 10 Inhaltsverzeichnis Vorwort 8 Kap. 1: Grundlagen 10 1.1 Analogie zwischen der Spieluhr und einem Prozessor 10 1.2 Unterschiede zwischen Mikroprozessor und Spieluhr 11 1.3 Die Programmierung eines Mikroprozessors

Mehr

A ProgrAmmer s Guide to KIM Programming

A ProgrAmmer s Guide to KIM Programming A ProgrAmmer s Guide to KIM Programming by Erik Bartmann - Vers. 0.1 1 - Die Hardware Organisation des 6502 Wie schaut es im Inneren aus Wie ich es schon angedroht hatte, ist es doch notwendig, sich ein

Mehr

Der Chip Select - Decoder

Der Chip Select - Decoder Der Chip Select - Decoder Wie externe Peripherie-Einheiten (Chips) an den Atmega8515 angeschlossen werden können, in Verbindung mit dem Datenblatt des ATmega8515(L) ab Seite 25. 1. Alle externen Peripherie-Einheiten,

Mehr

2.5. Mikrocontroller-Komponenten

2.5. Mikrocontroller-Komponenten 2.5.6 DMA Für besonders schnellen Datentransfer können leistungsfähige Mikrocontroller Daten ohne Beteiligung des Prozessorkerns transportieren: DMA (Direct Memory Access) Ein DMA-Datentransfer kann stattfinden

Mehr

SMP Übung 2 1. Aufgabe

SMP Übung 2 1. Aufgabe SMP Übung 2 1. Aufgabe a) Kilo: K = 2 10 = 1.024 Mega: M = 2 20 = 1.048.576 Giga: G = 2 30 = 1.073.741.824 Tera: T = 2 40 = 1.099.511.627.776 b) Der Prozessor hat 30 Adressleitungen A[31..2], mit denen

Mehr

3. Rechnerarchitektur

3. Rechnerarchitektur ISS: EDV-Grundlagen 1. Einleitung und Geschichte der EDV 2. Daten und Codierung 3. Rechnerarchitektur 4. Programmierung und Softwareentwicklung 5. Betriebssyteme 6. Internet und Internet-Dienste 3. Rechnerarchitektur

Mehr

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf

I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf I2C-BUS Von Ramesh Sathiyamoorthy Klasse E4p Embedded Control Hr.Felser HTI Burgdorf Inhaltverzeichnis Inhaltverzeichnis... 2 1.History... 3 2.Einsatzbereich... 4 3.Funktionweise... 5 3.1 Das I2C-Busprotokoll...

Mehr

Rechnernetze und Organisation

Rechnernetze und Organisation Fetch-Execute 1 Übersicht Motivation Modulare Computer Bussyteme Fetch and Execute Einfaches Input/Output 2 Motivation Prinzipielle Funktionsweise einer CPU Minimalistischer Prozessor 3 Komponenten eines

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Mikrocomputertechnik

Mikrocomputertechnik Mikrocomputertechnik Bernd-Dieter Schaaf Mit Mikrocontrollern der Familie 8051 ISBN 3-446-40017-6 Leseprobe Weitere Informationen oder Bestellungen unter http://www.hanser.de/3-446-40017-6 sowie im Buchhandel

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 3 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

RO-Tutorien 3 / 6 / 12

RO-Tutorien 3 / 6 / 12 RO-Tutorien 3 / 6 / 12 Tutorien zur Vorlesung Rechnerorganisation Christian A. Mandery WOCHE 10 AM 01./02.07.2013 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Deckblatt. Konfiguration eines CP342-5 als DP- Slave am CP342-5 als DP- Master PROFIBUS DP. FAQ Juni Service & Support. Answers for industry.

Deckblatt. Konfiguration eines CP342-5 als DP- Slave am CP342-5 als DP- Master PROFIBUS DP. FAQ Juni Service & Support. Answers for industry. Deckblatt Konfiguration eines CP342-5 als DP- Slave am CP342-5 als DP- Master PROFIBUS DP FAQ Juni 2010 Service & Support Answers for industry. Fragestellung Dieser Beitrag stammt aus dem Service&Support

Mehr

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches

Speicher Typen. TI-Übung 5. Speicher SRAM. Speicher DRAM. SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Speicher, Caches Speicher Typen TI-Übung 5 Speicher, Caches Andreas I. Schmied (andreas.schmied@uni-ulm.de) AspectIX-Team Abteilung Verteilte Systeme Universität Ulm WS2005 SRAM vs. DRAM (EEP)ROM, NV-RAM, Flash,... Charakteristik

Mehr

Intelligenter Modemadapter für den PC

Intelligenter Modemadapter für den PC Intelligenter Modemadapter für den PC Jürgen Hasch, DG1SCR, Meisenstr. 23, 73066 Uhingen Motivation Möchte man an einem PC mehrere Modems betreiben, so hat man die Wahl zwischen einer quasi-passiven Lösung

Mehr

Mikrocontroller-Busse

Mikrocontroller-Busse Mikrocontroller-Busse Projekt Elektronik Mohammed Goni Projekt: Terarriensteuerung WsSe 09 1 Einleitung 2 Microcontroller (MCU) 3 Bussysteme Mohammed Goni (Projekt: Terarriensteuerung) Elektronik WiSe

Mehr

Service & Support. Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP.

Service & Support. Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP. Deckblatt Konfiguration einer S7-300 als DP- Slave an einer S7-400H als DP-Master unter Verwendung eines Y-Links PROFIBUS DP FAQ August 2011 Service & Support Answers for industry. Fragestellung Dieser

Mehr

Aufbau eines Taschenrechners

Aufbau eines Taschenrechners siehe Skizze Aufbau einer Waage siehe Skizze Speichermöglichkeit Aufbau eines Taschenrechners Speichermöglichkeit Adressbus 65536 (2 16 ) (2 wegen der Zustände =aus und 1=an) => 65536 Möglichkeiten =>

Mehr

Microcomputertechnik

Microcomputertechnik Microcomputertechnik mit Mikrocontrollern der Familie 8051 Bearbeitet von Bernd-Dieter Schaaf 2. Auflage 2002. Buch. 230 S. Hardcover ISBN 978 3 446 22089 8 Format (B x L): 16 x 22,7 cm Gewicht: 407 g

Mehr

Serielle Schnittstellen

Serielle Schnittstellen Serielle Schnittstellen Grundbegriffe Seriell, Parallel Synchron, Asynchron Simplex, Halbduplex, Vollduplex Baudrate, Bitrate Serielle Datenübertragung Senden von Daten Bit für Bit 1 0 1 1 Serielle Datenübertragung

Mehr

Cache-Speicher. Design Digitaler Systeme. Prof. Dr.-Ing. Rainer Bermbach

Cache-Speicher. Design Digitaler Systeme. Prof. Dr.-Ing. Rainer Bermbach Cache-Speicher Design Digitaler Systeme Prof. Dr.-Ing. Rainer Bermbach Übersicht Cache-Speicher Warum Cache-Speicher? Cache-Strukturen Aufbau und Organisation von Caches Cache-Architekturen Cache-Strategien

Mehr

SGCPU TI99/4P! Beschreibung der Hardware. (Second-Generation-Central-Processing-Unit)...oder einfach:

SGCPU TI99/4P! Beschreibung der Hardware. (Second-Generation-Central-Processing-Unit)...oder einfach: (Second-Generation-Central-Processing-Unit)...oder einfach: TI99/4P! Beschreibung der Hardware system-99 user-group Zuletzt aktualisiert am 17. Oktober 2017 Inhaltsverzeichnis Inhaltsverzeichnis... 3 Einleitung...

Mehr

Computer - Aufbau u. Funktionsweise

Computer - Aufbau u. Funktionsweise Teil 3 Folie: 1 Ein Computerarbeitsplatz Teil 3 Folie: 2 Was ist in der Box? Hauptplatine, Motherboard Das Bussystem Teil 3 Folie: 3 Unter einem Bussystem (oder kurz einem Bus) versteht man bei einem PC

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

Wichtige Rechnerarchitekturen

Wichtige Rechnerarchitekturen Wichtige Rechnerarchitekturen Teil 5 INMOS Transputer, CSP/Occam 1 INMOS Transputer 1983 vorgestellt von der Firma INMOS (Bristol) (Entwicklung seit 1978) Der Name Transputer entstand als Kunstwort aus

Mehr

INF. Fachbereich Informatik. FERNUNIVERSITÄT in Hagen D Hagen Technische Informatik II. Hauptklausur am

INF. Fachbereich Informatik. FERNUNIVERSITÄT in Hagen D Hagen Technische Informatik II. Hauptklausur am FERNUNIVERSITÄT In Hagen EINGANG INF FERNUNIVERSITÄT in Hagen D-58084 Hagen Fachbereich Informatik Kurs: 1708 Technische Informatik II Hauptklausur am 07.02.2004 Hörerstatus: Klausurort: Vollzeitstudent

Mehr

Fachhochschule Augsburg WS01/02 Mikrocomputertechnik Fachbereich Elektrotechnik Blatt 1/8. Prüfung Mikrocomputertechnik WS 01/02

Fachhochschule Augsburg WS01/02 Mikrocomputertechnik Fachbereich Elektrotechnik Blatt 1/8. Prüfung Mikrocomputertechnik WS 01/02 Fachbereich Elektrotechnik Blatt 1/8 Prüfung Mikrocomputertechnik WS 01/02 Prüfungsfach: Mikrocomputertechnik Prüfer: Prof.Dr.Bayer Prüfungszeit: 90 min Datum: 4.Februar 2002 Hilfsmittel: nicht prog. Taschenrechner

Mehr

Berner Fachhochschule. Technik und Informatik EKT Labor für technische Informatik. Manual CARME IO1-LA. November 2007 Jürgen Schüpbach

Berner Fachhochschule. Technik und Informatik EKT Labor für technische Informatik. Manual CARME IO1-LA. November 2007 Jürgen Schüpbach Berner Fachhochschule Technik und Informatik EKT Labor für technische Informatik Manual November 2007 Jürgen Schüpbach Inhaltsverzeichnis Inhaltsverzeichnis 1 Übersicht (Logic Analyzer)...1 2 Kurzbeschreibung...1

Mehr

Der Front Side Bus ist eine Schnittstelle zwischen dem Hauptprozessor (CPU) und der

Der Front Side Bus ist eine Schnittstelle zwischen dem Hauptprozessor (CPU) und der Die CPU eines PC kommuniziert mit den anderen Bestandteilen des Systems über den Chipsatz. Die direkt mit der CPU verbundene Komponente des Chipsatzes wird als Northbridge bezeichnet. An die Northbridge

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first 2. Ansatzpunkt: Reduktion der Penalty 2.1. Early Restart und critical word first Beide Techniken basieren darauf, die Wartezeit der CPU auf das Mindestmaß zu beschränken. Early restart lädt den Block wie

Mehr

Geräteentwurf mit Mikroprozessoren 1

Geräteentwurf mit Mikroprozessoren 1 Geräteentwurf mit Mikroprozessoren 1 Vorlesung am Institut für Elektronik der TU Graz Dipl.-Ing. Dr. Gerhard Stöckler SS 2003 Vorausgesetzte Kenntnisse: Grundlagen der Digitaltechnik Binäre Informationsdarstellung

Mehr

Arduino Nano. Hello World per LED On-Board LED (Pin D13) Beispiel: Arduino IDE / Beispiele / Basics / Blink 5 / 20

Arduino Nano. Hello World per LED On-Board LED (Pin D13) Beispiel: Arduino IDE / Beispiele / Basics / Blink 5 / 20 Inhalt Inbetriebnahme Arduino Nano Einführung I2C Bus Inbetriebnahme Real-Time-Clock DS1307 (I2C) Inbetriebnahme 4x 7-Segment Anzeige TM1637 Kombination RTC mit 7-Segment Anzeige => Uhr 2 / 20 Arduino

Mehr

Teil 1: Prozessorstrukturen

Teil 1: Prozessorstrukturen Teil 1: Prozessorstrukturen Inhalt: Mikroprogrammierung Assemblerprogrammierung Motorola 6809: ein einfacher 8-Bit Mikroprozessor Mikrocontroller Koprozessoren CISC- und RISC-Prozessoren Intel Pentium

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Grundlagen der Rechnerarchitektur. Speicher

Grundlagen der Rechnerarchitektur. Speicher Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

Unified-E Modbus Adapter

Unified-E Modbus Adapter Unified-E Modbus Adapter Betrifft: Version 1.5.0.0 und höher Stand: Februar 2017 Inhalt 1 Allgemeines... 2 2 Adapter-Parameter in Unified-E... 2 3 Adressierung von Datenpunkten... 4 Unified-E Modbus Adapter

Mehr

4.0 Der Atmel AT89LPx052 Mikrocontroller

4.0 Der Atmel AT89LPx052 Mikrocontroller 4.0 Der Atmel AT89LPx052 Mikrocontroller Die ersten beiden Derivate der Atmel LP Familie sind der AT89LP2052 und der AT89LP4052 in verschiedenen Gehäusevarianten mit 2 Kbytes bzw. 4 KBytes Flash. Gegenüber

Mehr

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel

Prozessorarchitektur. Kapitel 1 - Wiederholung. M. Schölzel Prozessorarchitektur Kapitel - Wiederholung M. Schölzel Wiederholung Kombinatorische Logik: Ausgaben hängen funktional von den Eingaben ab. x x 2 x 3 z z = f (x,,x n ) z 2 z m = f m (x,,x n ) Sequentielle

Mehr

Software ubiquitärer Systeme

Software ubiquitärer Systeme Software ubiquitärer Systeme Übung 2: Speicherarchitekturen in Mikrocontrollern und AOStuBS Christoph Borchert Arbeitsgruppe Eingebettete Systemsoftware Lehrstuhl für Informatik 12 TU Dortmund http://ess.cs.uni-dortmund.de/~chb/

Mehr

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7

Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7 Übungen zu Grundlagen der Rechnerarchitektur und -organisation: Bonusaufgaben Übung 6 und Präsenzaufgaben Übung 7 Dominik Schoenwetter Erlangen, 16. Juni 2014 Lehrstuhl für Informatik 3 (Rechnerarchitektur)

Mehr

Teil VIII Von Neumann Rechner 1

Teil VIII Von Neumann Rechner 1 Teil VIII Von Neumann Rechner 1 Grundlegende Architektur Zentraleinheit: Central Processing Unit (CPU) Ausführen von Befehlen und Ablaufsteuerung Speicher: Memory Ablage von Daten und Programmen Read Only

Mehr

1 Grundlagen. 1.1 Rechnerarchitektur. Mikroprozessortechnik MFB. Einleitung, Systemaufbau

1 Grundlagen. 1.1 Rechnerarchitektur. Mikroprozessortechnik MFB. Einleitung, Systemaufbau 1 Grundlagen... 1 1.1 Rechnerarchitektur... 1 1.2 Takt... 2 1.3 Speicherarchitektur... 2 2 Mikroprozessor... 3 2.1 Begriffsbestimmung... 4 2.2 Geschichte... 4 2.3 Caches... 5 1 Grundlagen 1.1 Rechnerarchitektur

Mehr

- Funktionsbeschreibung Memory-card-interface

- Funktionsbeschreibung Memory-card-interface - Funktionsbeschreibung Memory-card-interface ------- ----------------------------------------------------- Das Interface ermöglicht den Einsatz der Panasonic "MEMORY-CARDs" in ECB-Bus- Systemen. So wird

Mehr

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die Speicher (1) Definition: Speichern ist die kurz- oder langfristige Änderung einer oder mehrerer physikalischer Eigenschaften einer Materie durch ein externes Ereignis. zur Realisierung eines Rechnerspeichers

Mehr

Prinzipieller Aufbau und Funktionsweise eines Prozessors

Prinzipieller Aufbau und Funktionsweise eines Prozessors Prinzipieller Aufbau und Funktionsweise eines Prozessors [Technische Informatik Eine Einführung] Univ.- Lehrstuhl für Technische Informatik Institut für Informatik Martin-Luther-Universität Halle-Wittenberg

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2008/2009 Hardware PCI-Bus 1/23 2008-08-06 Übersicht Inhalt:

Mehr

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

Hardware PCI-Bus. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Hardware PCI-Bus Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 Hardware PCI-Bus 1/23 2007-10-26 Übersicht Inhalt:

Mehr

Mikrocomputertechnik mit der 8051-Controller-Familie

Mikrocomputertechnik mit der 8051-Controller-Familie Mikrocomputertechnik mit der 8051-Controller-Familie Hardware, Assembler, C Bearbeitet von Jürgen Walter Neuausgabe 2008. Buch. xiii, 311 S. ISBN 978 3 540 66758 2 Format (B x L): 15,5 x 23,5 cm Weitere

Mehr

E Q 1 Q 0 D 1 D X X X X

E Q 1 Q 0 D 1 D X X X X Musterlösung Übung 9 Aufgabe 1 a) Wahrheitstabelle: E Q 1 Q 0 D 1 D 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 X X 1 0 0 0 0 1 0 1 0 0 1 1 0 0 1 1 1 1 X X Bei diesem Automaten handelt es sich um einen Moore-Automaten.

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Mikrocomputertechnik. 6. Exceptionhandling ( Ausnahmebearbeitung)

Mikrocomputertechnik. 6. Exceptionhandling ( Ausnahmebearbeitung) 6. Exceptionhandling ( Ausnahmebearbeitung) Exception: Exception ist eine besondere Situation, auf die der Prozessor mit einem speziellen Programm (Exceptionroutine) reagieren muss. Das gerade ablaufende

Mehr

Beschreibung RS232-Protokoll für POWER-TRAP Fotovoltaik-Wechselrichter (ab Protokollversion ENS1 = 5 und ENS2 = 6)

Beschreibung RS232-Protokoll für POWER-TRAP Fotovoltaik-Wechselrichter (ab Protokollversion ENS1 = 5 und ENS2 = 6) Beschreibung RS232-Protokoll für POWER-TRAP Fotovoltaik-Wechselrichter (ab Protokollversion ENS1 = 5 und ENS2 = 6) 1. Allgemein Da die RS232-Schnittstelle elektrisch auch mit der RS485-Schnittstelle verbunden

Mehr

Mikrorechner-Technik

Mikrorechner-Technik Springer-Lehrbuch Mikrorechner-Technik Band II Busse, Speicher, Peripherie und Mikrocontroller Bearbeitet von Helmut Bähring Neuausgabe 2002. Taschenbuch. xxvii, 425 S. Paperback ISBN 978 3 540 43693 5

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

Virtueller Speicher und Memory Management

Virtueller Speicher und Memory Management Virtueller Speicher und Memory Management Speicher-Paradigmen Programmierer ein großer Adressraum linear adressierbar Betriebssystem eine Menge laufender Tasks / Prozesse read-only Instruktionen read-write

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

TM1638-Anzeige-Taster-Modul

TM1638-Anzeige-Taster-Modul Das besitzt 8 Siebensegmentanzeigen, 8 LEDs und 8 Taster. Diese können von einem Mikrocontroller über den Baustein TM1638 angesprochen werden; die Kommunikation erfolgt hier über ein SPI-Protokoll. Die

Mehr

ZENTRALEINHEITEN GRUPPE

ZENTRALEINHEITEN GRUPPE 31. Oktober 2002 ZENTRALEINHEITEN GRUPPE 2 Rita Schleimer IT für Führungskräfte WS 2002/03 1 Rita Schleimer TEIL 1 - Inhalt Zentraleinheit - Überblick Architekturprinzipien Zentralspeicher IT für Führungskräfte

Mehr