Rechnerstrukturen. Michael Engel und Peter Marwedel. TU Dortmund, Fakultät für Informatik SS 2013

Größe: px
Ab Seite anzeigen:

Download "Rechnerstrukturen. Michael Engel und Peter Marwedel. TU Dortmund, Fakultät für Informatik SS 2013"

Transkript

1 Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 27. Mai 2013

2 1 Speicher SRAM-Realisierung 2 Register Schieberegister Datenbus 3 Taktung von Digitalrechnern Takt- und Phasenableitung 4 Zusammenfassung 5 Anhang 6 Ausblick

3 Speicher

4 Speicher Erinnerung Wir können in einem Flip-Flop ein Bit speichern. klar 1-Bit-Speicher reichen uns nicht. klar Wir können in k Flips-Flops k Bits speichern. Wie organisieren wir das so, dass der Zugriff bequem ist? exemplarisch Speicher für 3-Bit-Wörter Warum 3? passt bequem auf eine Folie Anmerkung Auch 3-Bit-Speicher reicht in der Praxis nicht aus.

5 Speichern eines 3-Bit-Wortes I 2 I 1 I 0 D Q D Q D Q O 2 RD 1 Wie können wir mehr als nur ein Wort speichern? O 1 O 0

6 Speichern von zwei 3-Bit-Wörtern I 2 I 1 I 0 D Q D Q D Q D Q D Q D Q RD 1 Beobachtung Schaltung funktioniert so nicht O 2 O 1 O 0

7 Speichern von zwei 3-Bit-Wörtern klar Die Speicherwörter müssen getrennt ansprechbar sein. also Wir wollen ein Wort wahlfrei adressieren. klar Wir brauchen eine Adressleitung A 0. Interpretation A 0 = 0 Wort w 0 adressiert zum Lesen oder Schreiben A 0 = 1 Wort w 1 adressiert zum Lesen oder Schreiben wie bisher RD bestimmt, ob gelesen oder geschrieben wird RD = 1 Speicherinhalt lesen RD = 0 Speicherinhalt schreiben

8 I 2 I 1 I 0 Schaltung zur Speicherung von zwei 3-Bit-Wörtern D Q D Q D Q A 0 1 D Q D Q D Q 1 O 2 1 O 1 RD 1 1 O 0

9 Speichererweiterungen angenommen Schaltung zur Speicherung von zwei 3-Bit-Wörtern im Einsatz angenommen Speicher reicht im Betrieb nicht aus Wunsch Speichererweiterung Beachte Speichererweiterung bedeutet weiteren Speicherbaustein (gleicher Art) hinzufügen, nicht vorhandenen Speicherbaustein durch größeren Speicherbaustein ersetzen Wie können wir das unterstützen? Idee zusätzliche Eingabe Chip Selected (CS)

10 I 2 I 1 I 0 Speicher für zwei 3-Bit-Wörter D Q D Q D Q A 0 1 D Q D Q D Q 1 CS 1 RD 1 1 O 2 O 1 O 0

11 Beispiel w 1 := 101 I 2 I 1 I 0 D Q D Q D Q A 0 1 D Q 1 D Q 0 D Q 1 1 CS 1 RD 1 1 O 2 O 1 O 0

12 Beispiel Lies w 1 I 2 I 1 I 0 D Q D Q D Q A 0 1 D Q 1 D Q 0 D Q 1 1 CS 1 RD 1 1 O 2 O 1 O 0

13 Realistischere Speichergrößen Beobachtung Speichergröße 2 Wörter ist nicht realistisch auch nicht bei Benutzung einiger Bausteine Wie kommen wir zu realistischen Speichergrößen? klar größere Wortlänge funktioniert im Prinzip gleich offen Wie verallgemeinern wir auf > 2 Wörter?

14 I 2 I 1 I 0 Speicher für zwei 3-Bit-Wörter D Q D Q D Q A 0 1 D Q D Q D Q 1 CS 1 RD 1 1 Word Select Line O 2 O 1 O 0

15 Word Select Lines für vier Wörter Word Select Line 0 A 0 1 Word Select Line 1 A 1 1 Word Select Line 2 Word Select Line 3

16 Speicher für viele Wörter allgemein 2 k Wörter speichern klar k Adressleitungen benötigt zunächst formale Beschreibung als boolesche Funktion f : {0,1} k {0,1} 2k mit f(a 0,A { 1,...,A k 1 ) = (s 0,s 1,...,s 2 k 1) 1 falls (A k 1 A k 2 A 0 ) 2 = i mit s i = 0 sonst Name der Funktion Decoder genauer k 2 k -Decoder

17 Decoder Nachdenken Kommt uns das nicht bekannt vor? x 2 x 1 x Beobachtung Und-Teil realisiert Decoder 0 w 0,0 w 1,0 w 2,0 w 3,0 w 4,0 w 5,0 w 6,0 w 7,0 0 w 0,1 w 1,1 w 2,1 w 3,1 w 4,1 w 5,1 w 6,1 w 7,1 0 w 0,2 w 1,2 w 2,2 w 3,2 w 4,2 w 5,2 w 6,2 w 7,2 0 w 0,3 w 1,3 w 2,3 w 3,3 w 4,3 w 5,3 w 6,3 w 7,3 0 w 0,4 w 1,4 w 2,4 w 3,4 w 4,4 w 5,4 w 6,4 w 7,4 0 w 0,5 w 1,5 w 2,5 w 3,5 w 4,5 w 5,5 w 6,5 w 7,5

18 Demultiplexer Erinnerung MUX d (y 1,...,y d,x 0,x 1,...,x 2 d 1) = x (y1 y 2 y d ) 2 Erinnerung Decoder als boolesche Funktion DECODE d : {0,1} d {0,1} 2d mit DECODE { d (A 0,A 1,...,A d 1 ) = (s 0,s 1,...,s 2 d 1) mit 1 falls (A d 1 A d 2...A 0 ) 2 = i s i = 0 sonst Demultiplexer DEMUX D : {0,1} d+1 {0,1} 2d mit DEMUX D (x,a 0,A 1,...,A d 1 ) = ( x {DECODE d (A 0,A 1,...,A d 1 )} 0, x {DECODE d (A 0,A 1,...,A d 1 )} 1,..., ) x {DECODE d (A 0,A 1,...,A d 1 )} 2 d 1

19 Speicher Realisiert man Speicher wirklich so?... nicht für Hauptspeicher von Rechnern (kompakter als DRAM [dynamic random access memory] möglich) tatsächlich typische SRAM-Realisierung (SRAM = static RAM) Eigenschaften dauerhaft schnell Zugriffszeit von Daten unabhängig teuer hoher Stromverbrauch Bemerkung typisch für Cache- oder Register-Speicher

20 Cache 128 K 8 = = darum 17 Adressleitungen A 0 A 16 Chip Enable bei uns CS Write Enable bei uns RD = 0 Output Enable bei uns RD = 1 Beobachtung = Flip-Flops

21 Spezielle Speicher: Register Fakt in Computern meist keine direkte Speichermanipulation stattdessen spezielle, direkt der CPU zugehörige Speicherzellen Register klar Register sind auch nur Flip-Flops aber spezielle Funktionalität gesonderte Betrachtung Wir betrachten Schieberegister x Funktion Speicherinhalt nach links oder rechts verschieben Was machen wir an den Rändern? möglich zyklisch verschieben möglich streichen und beliebig auffüllen

22 Entwurf Schieberegister Entwurf nicht-zyklisches Schieberegister mit drei Bits Warum gerade drei Bits? klein genug, um auf die Folie zu passen groß genug, um alles Wichtige zu enthalten Bit am linken Rand Bit am rechten Rand mittleres Bit Eingänge d (direction) Schieberichtung (d = 0 =links, d = 1 =rechts) x aufzufüllender Wert Vereinfachung: betrachten nicht Ein-/Ausgänge zum Schreiben/Lesen des Registers als Ganzem

23 Mealy-Automat zum nicht-zyklischen Schieberegister Σ = {00,01,10,11} Interpretation d x Σ = also q Q,w Σ: λ(q,w) = ε Q = {000, 001, 010, 011, 100, 101, 110, 111} Interpretation Registerinhalt q 0 = 000 (völlig willkürlich) δ: Q Σ Q q Q w Σ δ(q,w) Q insgesamt 32 Zeilen.

24 Grafische Darstellung Mealy-Automat Σ = {00,01,10,11}, w = d x (d = 0 =links, d = 1 =rechts) =, Q = {0,1} 3, q 0 = 000, λ(q,w) = ε 00, , , ,

25 Schaltwerk-Synthese abgekürzt Codierung kanonisch w = d x durch d, x q = q l q m q r {0,1} 3 durch q l, q m, q r Müssen wir jetzt Tabellen aufstellen? Einsicht Strukturverständnis kann helfen zum linken Bit 1. Fall d = 0 =links klar q l(neu) = q m 2. Fall d = 1 =rechts klar q l(neu) = x also q l(neu) = d q m d x

26 Boolesche Funktionen für mittleres und rechtes Bit zum rechten Bit 1. Fall d = 0 =links klar q r(neu) = x 2. Fall d = 1 =rechts klar q r(neu) = q m also q r(neu) = d x d q m zum mittleren Bit 1. Fall d = 0 =links klar q m(neu) = q r 2. Fall d = 1 =rechts klar q m(neu) = q l also q m(neu) = d q r d q l

27 Schaltwerk nicht-zyklisches Schieberegister Moment! Hätten wir nicht Flip-Flops wählen und und Ansteuerfunktionen berechnen müssen? Einsicht nicht, wenn man D-Flip-Flops verwendet also nur noch q l(neu) = d q m d x q m(neu) = d q r d q l q r(neu) = d x d q m direkt in ein Schaltwerk übertragen

28 Schaltwerk nicht-zyklisches Schieberegister x d D Q D Q D Q T

29 Zyklisches Schieberegister jetzt zyklisches Schieberegister wieder für drei Bits wieder ohne Daten-Eingabe zuerst Mealy-Automat wie vorhin Q = {0,1} 3, =, q Q,w Σ: λ(q,w) = ε anders Σ = {0,1} (weil x fehlt) wie vorhin Interpretation 0 =links, 1 =rechts

30 Mealy-Automat zyklisches Schieberegister Σ = {0,1}, w = d (d = 0 =links, d = 1 =rechts) =, Q = {0,1} 3, q 0 = 000, λ(q,w) = ε 0, ,

31 Boolesche Funktionen zum Mealy-Automaten wie vorhin Funktionen für q l, q m, q r direkt ableiten q l = d q m d q r q m = d q r d q l q r = d q l d q m jetzt Schaltwerk direkt angebbar bei Verwendung von D-Flip-Flops

32 Schaltwerk zyklisches Schieberegister d D Q D Q D Q T nicht-zyklisch

33 Schieberegister allgemein Können wir die Funktionen für die Bits auch allgemein angeben? Notation Bits q n 1,...,q 1,q 0 q n 1 am linken Rand, q 0 am rechten Rand zyklisches Schieberegister q i = d q (i 1) mod n d q (i+1) mod n auch am Rand Auch am Rand? nicht-zyklisches Schieberegister q i = d q i 1 d q i+1 auch am Rand mit q 1 := x, q n := x Welche Operationen können Schieberegister realisieren?

34 Datentransfer klar Daten müssen gelegentlich zwischen Registern transferiert werden Beispiel Zwischenergebnis merken Wie kann man Daten von einem Register in ein anderes transferieren? klar auf Leitungen zwischen den Registern Wie verbindet man Register geschickt? Kriterien: Einfachheit (d. technischen Realisierung) Sparsamkeit (i.s.v. Schaltungsaufwand) Möglichkeit zum effizienten Datenaustausch

35 Register verbinden eine Möglichkeit paarweise verbinden Vorteile direkter Datenaustausch, also schnell bis n/2 Registerpaare gleichzeitig aktiv, also gute Parallelisierung Nachteil ( n 2) Verbindungen Beispiel ( 16 2 ) = = 120 Anmerkung meist nicht realisiert

36 Register sparsam verbinden andere Möglichkeit alle Register mit einer gemeinsamen Leitung verbinden Vorteile nur eine Leitung, also einfach Datenaustausch direkt, also schnell Nachteile immer nur ein Paar aktiv, also langsam Steuerlogik erforderlich, nicht ganz einfach Name Bus Anmerkungen häufig verwendet Engpass durch mehrere Busse entschärfbar

37 Bus für acht 1-Bit-Register w 2 w 1 w 0 Decoder D Q D Q D Q D Q D Q D Q D Q D Q r 2 r 1 r 0 Multiplexer

38 Verallgemeinerung Verallgemeinerung auf mehr Register mehr Adressleitungen zum Adressieren des Quell- und Zielregisters größere Multiplexer und Decoder Verallgemeinerung auf breitere Register je Register entsprechend mehr Flip-Flops (je Bit ein Flip-Flop) entsprechend mehr Multiplexer (je Bit ein Multiplexer) entsprechend mehr Bus-Leitungen (je Bit eine Bus-Leitung)

39 Taktung von Digitalrechnern

40 Taktung von Digitalrechnern Gibt es in einem Rechner eigentlich den Takt? jein oft mehrere Takte aber nur eine Uhr Wie funktioniert das? Einsicht schneller geht nicht, verschoben oder langsamer schon Langsamer Wie geht das? klar Takt(e) auslassen klar dazu Zähler ausreichend Beobachtung Zähler wie jedes Schaltwerk realisierbar

41 Taktreduktion mit Zählern Idee: Zähle Taktimpulse erzeuge in jedem n-ten Takt einen neuen Impuls für den langsameren abgeleiteten Takt Erforderlich: Zähler modulo n, d.h. z (z +1) mod n Realisierung als synchrones Schaltwerk Zustand ˆ= Zählerstand, d.h.: Q = {0,1,..., n 1} (speicherbar in log 2 n Bits / Flip-Flops) Ausgabe, z.b. wenn Zählerstand 0 erreicht nicht betrachtet Eingabe?... eigentlich nicht zwingend erforderlich, aber Umschaltung der Zählrichtung möglich! Σ = {0,1} (d.h. 0 vorwärts, 1 rückwärts zählen)

42 Beispiel: Zähler modulo 8 Zustandsüberführungsfunktion: δ(q,d) = (q +( 1) d ) mod 8 Achtung bei Implementierung! d q q neu R l S l R m S m R r S r Speicher Register Takt Zusammenfassung Anhang Ausblick

43 Schaltwerk des Zählers modulo 8 Nachteil: aufwendig! Gibt es einfachere Möglichkeiten der Taktreduktion?

44 Taktableitung Betrachte eine Kette von T-Flip-Flops 1 T Q 1 T Q 2 T Q 3 Takt Q 1 Q 2 Q Nachteil: Taktteilungsschema nicht homogen (ab Q 3 )!

45 Taktteilung Betrachte Kette von T-Flip-Flops in asynchroner Schaltung f 2 f 4 f 8 T Q T Q T Q... Q Q Q Man erhält einen asynchronen n-bit Zähler (hier: 3 bit). Hinweis: Zähler verwendet flankengetriggerte T-Flip-Flops.

46 Zusammenfassung

47 Zusammenfassung Repräsentation von Daten natürliche Zahlen, ganze Zahlen rationale Zahlen (IEEE 754) auch: Texte, andere Daten Boolesche Funktionen und Schaltnetze Boolesche Funktionen Boolesche Algebra, Repräsentation boolescher Funktionen Normalformen (DNF, KNF, RNF) Repräsentation boolescher Funktionen mit OBDDs Schaltnetze Rechnerarithmetik Addition (Ripple-Carry Addierer, Carry-Look-Ahead-Addierer) Multiplikation (Carry-Save Addierer Wallace-Tree) Subtraktion ˆ= Addition negativer Zahlen Gleitkommazahlen: Multiplikation, Addition...

48 Zusammenfassung II Boolesche Funktionen und Schaltnetze (cont.)... Strukturierter Schaltnetz-Entwurf (insbes. Multiplexer) Optimierung von Schaltnetzen KV-Diagramme: Minimalpolynome Algorithmus von Quine und McCluskey: PI-Tafel Unvollständig definierte Funktionen Hazards (insbes. Schaltungshazards) Programmierbare Bausteine: PLAs Sequenzielle Schaltungen Modellierung mit Automaten Synchrone Schaltwerke: Flip-Flops Schaltwerk-Entwurf: von Neumann-Addierwerk Speicher (insbes. Adressierung, Demultiplexer) Register: Schieberegister, Datenbus Taktung von Digitalrechnern

49 Anhang

50 Schaltnetzentwurf: Begriffe Begriff Definition Beispiele Variable x 1,x 7,x 42 Literal (negierte) Variable x 1,x 4,x 9 Monom Konjunktion von Literalen x 2 x 7,x 3 x 4,x 42 Polyonom Disjunktion von Monomen x 2 x 7 x 3 x 4,x 42 Implikant Implikant(x)=1 f(x) = 1 Monom eines Polynoms Verkürzung enthält Teilmenge der Literale x 3x 4 von x 3 x 4 x 7, x 1 von x 1 echte Verkürzung enthält echte Teilmenge der L. x 3x 4 von x 3 x 4 x 7 Prim- Implikant, keine echte implikant Verkürzung auch Implikant Minimal- Polynom mit polynom minimalen Kosten Erinnerung Minimalpolynome enthalten nur Primimplikanten Erinnerung zweischrittiges Vorgehen 1 Berechne Menge aller PI. 2 Berechne minimale überdeckende Auswahl.

51 Primimplikantenbestimmung mit KV-Diagramm x 1 x 4 00 x 1 x x 3 x x 1 x x 2 x 4 Minimalpolynom x 1 x 2 x 1 x 4

52 Algorithmus von Quine/McCluskey: PI-Tafel erstellen bekannt f : {0,1} 4 {0,1} x 1x 2x 3x 4 f(x 1,x 2,x 3,x 4) PI-Tafel x 1 x 3 x x 2 x 3 x 4 1 1

53 Algorithmus von Quine/McCluskey: PI-Tafel verkleinern x 1x 2x x 1x 3x x 2x 3x x 1x 2x x 1x 3x x 2x 3x x 4x Streichung von Kernimplikanten-Zeilen Spalte s mit nur einer 1: Wähle Primimplikant der korrespondierenden Zeile, streiche diese Zeile und alle von ihr überdeckten Spalten. Streichung überdeckender Spalten Spalten s, s mit s s : Streiche Spalte s. Streichung überdeckter Zeilen Zeilen z, z mit z z : Streiche Zeile z.

54 IEEE Addition x y Zahl mit größerem Exponenten ist x also vorläufiges Vorzeichenbit 1 vorläufiger Exponent Differenz der Exp. 1, also in y Komma um 1 Stelle nach links aus x 1, aus y + 0, unterschiedliche Vorzeichen, also Zweierkomplement aus x 01, aus y + 11, , zum Normalisieren Komma 2 Stellen nach rechts, also Exp

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Speicher SRAM-Realisierung Register Schieberegister Datenbus WS 2012/13 Taktung von Digitalrechnern Takt- und Phasenableitung Zusammenfassung Anhang Ausblick Hinweis: Folien teilweise a. d. Basis von Materialien

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 15/16 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 17/18 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 203 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 2. Mai 203 Boolesche Funktionen

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 19. Mai 2014 1/43 1 Sequenzielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 13. Mai 2013 1 Programmierbare

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel. Sommer TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik Sommer 2014 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. Mai 2014 1/50 1 Boolesche Funktionen

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 13. Mai 2013 1 Sequenzielle

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 20. November 2013 1/48 1 Sequenzielle

Mehr

Ab 5.11.: Vorlesung im HSG H.001

Ab 5.11.: Vorlesung im HSG H.001 Rechnerstrukturen WS 202/3 Ab 5..: Vorlesung im HSG H.00 Boolesche Funktionen und Schaltnetze KV-Diagramme Beschreibung und Beispiel Minimalpolynome Algorithmus von Quine und McCluskey Einleitung, Berechnung

Mehr

Rechnerstrukturen WS 2013/14

Rechnerstrukturen WS 2013/14 Rechnerstrukturen WS 2013/14 1 Boolesche Funktionen und Schaltnetze 2 Hazards (Wiederholung/Abschluss) 3 Programmierbare Bausteine Einleitung Einsatz von PLAs 4 Sequenzielle Schaltungen Einleitung Folien

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 WS 2012/13 Boolesche Funktionen und Schaltnetze Hazards (Wiederholung/Abschluss) Programmierbare Bausteine Einleitung Einsatz von PLAs Sequenzielle Schaltungen Einleitung Hinweis: Folien teilweise a. d.

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Boolesche Funktionen und Schaltnetze Schaltnetze Rechner-Arithmetik Addition Bessere Schaltnetze zur Addition Carry-Look-Ahead-Addierer Multiplikation Wallace-Tree Hinweis:

Mehr

Rechnerstrukturen, Teil 1

Rechnerstrukturen, Teil 1 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 18/19 Prof. Dr. Jian- Jia Chen Fakultät für Informatik Technische Universität Dortmund jian- jia.chen@cs.uni-.de http://ls12- www.cs.tu-.de Übersicht 1. Organisatorisches

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 WS 2012/13 Sequenzielle Schaltungen Einleitung (Wiederholung) Modellierung mit Automaten Synchrone Schaltwerke Einleitung Flip-Flops Schaltwerk-Entwurf Einleitung von Neumann-Addierwerk Hinweis: Folien

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 25. April 2013 1 Boolesche

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 30. Oktober 2013 1/35 1 Boolesche

Mehr

Minimalpolynome und Implikanten

Minimalpolynome und Implikanten Kapitel 3 Minimalpolynome und Implikanten Wir haben bisher gezeigt, daß jede Boolesche Funktion durch einfache Grundfunktionen dargestellt werden kann. Dabei können jedoch sehr lange Ausdrücke enstehen,

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Boolesche Funktionen und Schaltnetze Rechner-Arithmetik Addition (Wiederholung) Multiplikation Wallace-Tree Subtraktion Addition negativer Zahlen Gleitkommazahlen-Arithmetik

Mehr

Boolesche (Schalt-) Algebra (8)

Boolesche (Schalt-) Algebra (8) Boolesche (Schalt-) Algebra (8) Karnaugh-Diagramm ist eine graphische Technik zur Darstellung und Vereinfachung von Booleschen Ausdrücken ist eine andere, zweidimensionale Darstellung von Wahrheitstabellen

Mehr

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608

Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prüfungsklausur 1608/1609 SS 2013 Aufgabenteil 1608 Prof. Dr. W. Schimann, Prof. Dr. J. Keller 14.09.2013 1 FernUniversität Hagen Prüfungsklausur Computersysteme 14.09.2013 Seite 2 Inhaltsverzeichnis 1

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel SS TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik SS 2013 Hinweis: Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 15. April 2013 1 Repräsentation

Mehr

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik

Rechnerstrukturen. Michael Engel und Peter Marwedel WS 2013/14. TU Dortmund, Fakultät für Informatik Rechnerstrukturen Michael Engel und Peter Marwedel TU Dortmund, Fakultät für Informatik WS 2013/14 Folien a. d. Basis von Materialien von Gernot Fink und Thomas Jansen 21. Oktober 2013 1/33 1 Boolesche

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Dirk W. Hoffmann Grundlagen der Technischen Informatik 3., neu bearbeitete Auflage Mit 356 Bildern, 57 Tabellen und 95 Aufgaben HANSER Inhaltsverzeichnis 1 Einführung 11 1.1 Was ist technische Informatik?

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 14/15 Rechnerstrukturen, Teil 1 Vorlesung 4 SWS WS 14/15 Prof. Dr Jian-Jia Chen Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls1-www.cs.tu-.de Übersicht

Mehr

3 Verarbeitung und Speicherung elementarer Daten

3 Verarbeitung und Speicherung elementarer Daten 3 Verarbeitung und Speicherung elementarer Daten 3.1 Boolsche Algebra Definition: Eine Boolsche Algebra ist eine Menge B mit den darauf definierten zweistelligen Verknüpfungen (+,*) sowie der einstelligen

Mehr

Boolesche Algebra (1)

Boolesche Algebra (1) Boolesche Algebra (1) Definition 1: Sei B = Σ 2 = {0,1} das Alphabet mit den Elementen 0 und 1. Seien auf B die 3 Operatoren einer Algebra wie folgt definiert für x,y aus B: x+y := Max(x,y), x y := Min(x,y),

Mehr

Klausur-Nachbesprechung

Klausur-Nachbesprechung Universität der Bundeswehr München Rechnerorganisation I Fakultät für Informatik HT 23 Institut für Technische Informatik Blatt Klausur-Nachbesprechung Aufgabe -: Multiple Choice Geben Sie für die folgenden

Mehr

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4

Auswertung. Hinweise. Einführung in die Technische Informatik WS 2006/2007 Probeklausur. Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Professor Dr.-Ing. Stefan Kowalewski Dipl.-Inform. Andreas Polzer Dipl.-Inform. Ralf Mitsching LEHRSTUHL INFORMATIK XI SOFTWARE FÜR EINGEBETTETE SYSTEME Aachen, 02. November 2006 SWS: V2/Ü2, ECTS: 4 Einführung

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Grundbausteine der Computertechnik Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für Titelbild (wenn

Mehr

Teil III. Schaltnetze und ihre Optimierung

Teil III. Schaltnetze und ihre Optimierung Teil III Schaltnetze und ihre Optimierung 1 Teil III.1 Schaltnetze 2 Beispiel 1 Schaltnetz für xor mit {+,, } x y x y 0 0 0 0 1 1 1 0 1 1 1 0 DNF: x y = xy + xy 3 Beispiel 2 xor mittels nand-verknüpfung;

Mehr

Klausur "Informatik I" vom Teil "Rechnerstrukturen"

Klausur Informatik I vom Teil Rechnerstrukturen Seite 1 von 6 Seiten Klausur "Informatik I" vom 20.2.2001 Teil "Rechnerstrukturen" Aufgabe 1: Binäre Informationsdarstellung (18 Punkte) 1.1 Gleitkommazahlen: Gegeben sei eine 8-bit Gleitkommazahl-Darstellung

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 202/3 Boolesche Funktionen und Schaltnetze Repräsentationen boolescher Funktionen (Wiederholung) Normalformen boolescher Funktionen (Wiederholung) Repräsentation boolescher Funktionen

Mehr

Darstellung von negativen binären Zahlen

Darstellung von negativen binären Zahlen Darstellung von negativen binären Zahlen Beobachtung für eine beliebige Binärzahl B, z.b. B=110010: B + NOT(B) ---------------------------------------------- = B + NOT(B) 1 + (Carry) ----------------------------------------------

Mehr

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur

Modul Computersysteme Prüfungsklausur SS Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur Modul Computersysteme Prüfungsklausur SS 2016 Lösungsvorschläge Prof. Dr. J. Keller LG Parallelität und VLSI Prof. Dr.-Ing. W. Schiffmann LG Rechnerarchitektur 1 Aufgabe 1 Schaltfunktionen (11 Punkte):

Mehr

Teil V. Programmierbare Logische Arrays (PLAs)

Teil V. Programmierbare Logische Arrays (PLAs) Teil V Programmierbare Logische Arrays (PLAs) 1 Aufbau von PLAs Programmierbares Logisches Array (PLA): Programmierbarer Einheitsbaustein aufgebaut als ein Gitter (Array) von Basisbausteinen (Zellen).

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3

Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + Aufgabe 2. Aufgabe 3 Logischer Entwurf Digitaler Systeme Seite: 1 Übungsblatt zur Wiederholung und Auffrischung Aufgabe 1 Minimieren Sie mit den Gesetzen der Booleschen Algebra 1.1 f a ab ab 1 = + + 1.2 f ( ) ( ) ( ) 2 = c

Mehr

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5

Klausur zur Vorlesung Technische Informatik 1 im WS 06/07 Donnerstag, den von Uhr Uhr, HS 5 Philipps-Universität Marburg Fachbereich Mathematik und Informatik AG Verteilte Systeme http://ds.informatik.uni-marburg.de Prof. Dr. Helmut Dohmann Prof. Dr. Bernd Freisleben Klausur zur Vorlesung Technische

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 6. Vorlesung Klaus Kasper Inhalt Asynchroner Zähler Synchroner Zähler Schaltungsanalyse Register Halbleiterspeicher Random Access Memory (RAM) SRAM DRAM Digitaltechnik 2 2 Frequenzteiler

Mehr

Computerarithmetik (15b)

Computerarithmetik (15b) Computerarithmetik (15b) Dazugehöriges Beispiel: Schleife Schritt Multiplikator Multiplikand Produkt 0 Anfangswerte 0011 0000 0010 0000 0000 1 1a: 1 -> Prod. = Prod. + Mcand 0011 0000 0010 0000 0010 2:

Mehr

Übungsblatt 5 (Block B - 1) (17 Punkte)

Übungsblatt 5 (Block B - 1) (17 Punkte) georg.von-der-brueggen [ ] tu-dortmund.de ulrich.gabor [ ] tu-dortmund.de olaf.neugebauer [ ] tu-dortmund.de Übung zur Vorlesung Rechnerstrukturen Wintersemester 27 Übungsblatt 5 (Block B - ) (7 Punkte)

Mehr

N Bit binäre Zahlen (signed)

N Bit binäre Zahlen (signed) N Bit binäre Zahlen (signed) n Bit Darstellung ist ein Fenster auf die ersten n Stellen der Binär Zahl 0000000000000000000000000000000000000000000000000110 = 6 1111111111111111111111111111111111111111111111111101

Mehr

Grundlagen der Informationsverarbeitung:

Grundlagen der Informationsverarbeitung: Grundlagen der Informationsverarbeitung: Schaltungsentwurf und Minimierungsverfahren Prof. Dr.-Ing. habil. Ulrike Lucke Durchgeführt von Prof. Dr. rer. nat. habil. Mario Schölzel Maximaler Raum für Titelbild

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

Teil IV. Schaltwerke

Teil IV. Schaltwerke Teil IV Schaltwerke 1 Teil IV.1 Flip Flops 2 Bistabile Kippstufe Ziel: Speichere Ausgabe einer Schaltung. Ansatz: Leite Ausgabe wieder als Eingabe in die Schaltung. x t & Q Q = x + P t + t t t y t & P

Mehr

Technische Grundlagen der Informatik

Technische Grundlagen der Informatik Technische Grundlagen der Informatik WS 2008/2009 13. Vorlesung Klaus Kasper WS 2008/2009 Technische Grundlagen der Informatik 1 Wiederholung Register Multiplexer Demultiplexer Halbleiterspeicher Statisches

Mehr

Rechnerstrukturen WS 2012/13

Rechnerstrukturen WS 2012/13 Rechnerstrukturen WS 2012/13 Repräsentation von Daten Repräsentation von Texten (Wiederholung) Repräsentation ganzer Zahlen (Wiederholung) Repräsentation rationaler Zahlen (Wiederholung) Repräsentation

Mehr

Verwendung eines KV-Diagramms

Verwendung eines KV-Diagramms Verwendung eines KV-Diagramms Ermittlung einer disjunktiven Normalform einer Schaltfunktion Eine Disjunktion von Konjunktionen derart, dass jeder Konjunktion ein Block in dem KV-Diagramm entspricht, der

Mehr

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden.

In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Spezielle Schaltwerke In diesem Abschnitt werden wir einige Schaltwerke kennenlernen, die als Basisbauteile überall im Aufbau digitaler Schaltungen verwendet werden. Das Register Das Register oder der

Mehr

Aufbau und Funktionsweise eines Computers - II

Aufbau und Funktionsweise eines Computers - II Aufbau und Funktionsweise eines Computers - II Schaltwerke Schaltwerke Bei Schaltnetzen: Ausgabe hängt nur von der aktuellen Eingabe ab. Bei Schaltwerken: Ausgabe hängt zusätzlich von endlich vielen vorausgegangenen

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16

Rechnerstrukturen, Teil 1. Vorlesung 4 SWS WS 15/16 Rechnerstrukturen, Teil Vorlesung 4 SWS WS 5/6 Dr. Lars Hildebrand Fakultät für Informatik Technische Universität Dortmund lars.hildebrand@tu-.de http://ls-www.cs.tu-.de Übersicht. Organisatorisches 2.

Mehr

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Einführung in Computer Microsystems Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Einführung in Computer Microsystems Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 16. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Literatur 2. Minimierung

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Von der Schaltungslogik zur Informationsverarbeitung

Von der Schaltungslogik zur Informationsverarbeitung Wintersemester 7/8 Schaltungslogik. Kapitel Von der Schaltungslogik zur Informationsverarbeitung Prof. Matthias Werner Professur Betriebssysteme 49 Schaltnetze! Gatter implementieren boolesche Funktionen

Mehr

Inhaltsverzeichnis. Inhaltsverzeichnis

Inhaltsverzeichnis. Inhaltsverzeichnis Inhaltsverzeichnis Hinweis: Inhalte, die weiterführend herausforderndere und schwierigere Themen aufgreifen, sind vorrangig für bereits erfahrene Leser bestimmt und können bei einer ersten Befassung durchweg

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

6. Minimierung Boolescher Polynome

6. Minimierung Boolescher Polynome H.J. Oberle Boolesche Algebra WiSe 2006/07 6. Minimierung Boolescher Polynome An Beispiel (5.11) c) erkennt man, dass die DNF eines Booleschen Polynoms i. Allg. ungünstig in Bezug auf die Anzahl der Auftretenden

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Rechnerstrukturen. 3. Elementare Bausteine. Inhalt. Vorlesung Rechnerstrukturen. Latches und Register. Decoder. Multiplexer.

Rechnerstrukturen. 3. Elementare Bausteine. Inhalt. Vorlesung Rechnerstrukturen. Latches und Register. Decoder. Multiplexer. Rechnerstrukturen 3. Elementare Bausteine Latches und Register Decoder Inhalt Multiplexer Speicher Arithmetische Einheiten Endliche Automaten 3.2 1 Elementare Bausteine Häufig verwendete Grundfunktionen

Mehr

Teil 2: Rechnerorganisation

Teil 2: Rechnerorganisation Teil 2: Rechnerorganisation Inhalt: Zahlendarstellungen Rechnerarithmetik Mikroprogrammierung schrittweiser Entwurf eines hypothetischen Prozessors mit Daten-, Adreß- und Kontrollpfad Speicherorganisation

Mehr

Teil 2: Rechnerorganisation

Teil 2: Rechnerorganisation Teil 2: Rechnerorganisation Inhalt: Zahlendarstellungen Rechnerarithmetik Mikroprogrammierung schrittweiser Entwurf eines hypothetischen Prozessors mit Daten-, Adreß- und Kontrollpfad Speicherorganisation

Mehr

Prüfungsklausur 1608 WS 2013/2014

Prüfungsklausur 1608 WS 2013/2014 Prüfungsklausur 1608 WS 2013/2014 Prof. Dr. J. Keller 22.03.2014 FernUniversität Hagen Prüfungsklausur Computersysteme 22.03.2014 Seite I- 1 Bewertungsschema Aufgabe a b c d e total I-1 3 4 1 2 2 12 I-2

Mehr

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen

kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3)

C.34 C Normalformen (4) 5.7 Hauptsatz der Schaltalgebra. 5.7 Hauptsatz der Schaltalgebra (2) 5.7 Hauptsatz der Schaltalgebra (3) 5.6 Normalformen (4) Noch mehr aber besonders wichtige Begriffe kanonische disjunktive Normalform (KDNF, DKF) Disjunktion einer Menge von Mintermen mit gleichen Variablen Beispiel: KDNF zur Funktion f(,,,

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Rechnerorganisation 5. Vorlesung

Rechnerorganisation 5. Vorlesung Rechnerorganisation 5. Vorlesung Mathematische Grundlagen (1) Boolesche Algebren: BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen

Mehr

Einführung in die Informatik I

Einführung in die Informatik I Einführung in die Informatik I Das Rechnen in Zahlensystemen zur Basis b=2, 8, 10 und 16 Prof. Dr. Nikolaus Wulff Zahlensysteme Neben dem üblichen dezimalen Zahlensystem zur Basis 10 sind in der Informatik

Mehr

Kapitel 4: Das Überdeckungsproblem

Kapitel 4: Das Überdeckungsproblem Kapitel : Das Überdeckungsproblem Kapitel Das Überdeckungsproblem Kapitel : Das Überdeckungsproblem Seite / 25 Kapitel : Das Überdeckungsproblem Inhaltsverzeichnis. Überdeckungsmatrizen.2 Minimalüberdeckungen.

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Analoge und digitale Hardware bei

Mehr

Übungsklausur - Beispiellösung

Übungsklausur - Beispiellösung Digitale Systeme Übungsklausur - Beispiellösung Aufgabe 1 (a) Benutzt man n Bit für die Darstellung im 2-Komplement, so deckt man den Wertebereich von 2 n 1 bis 2 n 1 1 ab. Also ergibt sich der abgedeckte

Mehr

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops

D.42 D Synchroner Zähler. 6.3 Synchroner Zähler (2) 6.3 Synchroner Zähler (4) 6.3 Synchroner Zähler (3) Einsatz von JK-Flip-Flops 6.3 Synchroner Zähler Unmittelbarer Übergang aller beteiligten Flip-Flops pro Taktzyklus Mögliche eines dreistelligen Binärzählers 000 111 001 110 010 Übergänge pro Takt unbedingte Übergänge 101 011 6.3

Mehr

Digital Design 4 Schaltwerke

Digital Design 4 Schaltwerke 4 Schaltwerke Schaltwerk: Ausgabevektor hängt nicht nur von Eingabevektor ab, sondern auch von allen bisherigen Eingaben. A(t n ) = f(e(t n ), E(t n-1 ), E(t n-2 ), E(t n-3 ),... E(t 0 ) dazu sind erforderlich:

Mehr

Rechneraufbau und Rechnerstrukturen

Rechneraufbau und Rechnerstrukturen Rechneraufbau und Rechnerstrukturen von Walter Oberschelp RWTH Aachen und Gottfried Vossen Universität Münster 10. Auflage c 2006 R. Oldenbourg Verlag GmbH, München Inhaltsverzeichnis Auszug... x... aus

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

TU5 Aussagenlogik II

TU5 Aussagenlogik II TU5 Aussagenlogik II Daniela Andrade daniela.andrade@tum.de 21.11.2016 1 / 21 Kleine Anmerkung Meine Folien basieren auf den DS Trainer von Carlos Camino, den ihr auf www.carlos-camino.de/ds findet ;)

Mehr

Teil 2: Rechnerorganisation

Teil 2: Rechnerorganisation Teil 2: Rechnerorganisation Inhalt: Zahlendarstellungen Rechnerarithmetik schrittweiser Entwurf eines hypothetischen Prozessors mit Daten-, Adreß- und Kontrollpfad Speicherorganisation Mikroprogrammierung

Mehr

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8

Vorwort Teil 1: Grundlagen 1. 1 Einleitung Grundbegriffe Einheiten Geschichte Arten von Computern 8 Inhaltsverzeichnis Vorwort Teil 1: Grundlagen 1 1 Einleitung 3 1.1 Grundbegriffe 3 1.2 Einheiten 5 1.3 Geschichte 6 1.4 Arten von Computern 8 2 Allgemeiner Aufbau eines Computersystems 15 2.1 Blockdiagramm

Mehr

Grundlagen der Digitaltechnik

Grundlagen der Digitaltechnik Grundlagen der Digitaltechnik Eine systematische Einführung von Prof. Dipl.-Ing. Erich Leonhardt 3., bearbeitete Auflage Mit 326 Bildern, 128 Tabellen, zahlreichen Beispielen und Übungsaufgaben mit Lösungen

Mehr

Computational Engineering I

Computational Engineering I DEPARTMENT INFORMATIK Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg Martensstraße 3, 91058 Erlangen 01.02.2017 Probeklausur zu Computational Engineering

Mehr

Adressierung von Speichern und Eingabe- Ausgabegeräten

Adressierung von Speichern und Eingabe- Ausgabegeräten Adressierung von Speichern und Eingabe- Ausgabegeräten Adressdecodierung Die Busstruktur von Prozessorsystemen verbindet die Bauteile über gemeinsame Leitungen. Auf dem Bus darf zu einer Zeit immer nur

Mehr

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage

Kapitel 6 Programmierbare Logik. Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6 Programmierbare Logik Literatur: Kapitel 6 aus Oberschelp/Vossen, Rechneraufbau und Rechnerstrukturen, 9. Auflage Kapitel 6: Programmierbare Logik und VLSI Seite Kapitel 6: Programmierbare Logik

Mehr

KAPITEL 5. Damit wird F n (B) selbst zu einer Booleschen Algebra und es gilt f(x) := f(x) 0(x) := 0 B 1(x) := 1 B

KAPITEL 5. Damit wird F n (B) selbst zu einer Booleschen Algebra und es gilt f(x) := f(x) 0(x) := 0 B 1(x) := 1 B KAPITEL 5 Boolesche Polynome Polynome über R, d.h. reelle Funktionen der Form p(x) = a 0 + a 1 x + + a n x n, x R, sind schon aus der Schulmathematik bekannt. Wir beschäftigen uns nun mit Booleschen Polynomen,

Mehr

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen

Bisher. minimale DNF. logischen Formeln Booleschen Funktionen Schaltungen Bisher Klassische Aussagenlogik (Syntax, Semantik) semantische Äquivalenz von Formeln äquivalentes Umformen von Formeln (syntaktisch) Normalformen: NNF, DNF, CNF, kanonische DNF und CNF Ablesen kanonischer

Mehr

Rechneraufbau und Rechnerstrukturen

Rechneraufbau und Rechnerstrukturen Rechneraufbau und Rechnerstrukturen von Prof. Dr. em. Walter Oberschelp, RWTH Aachen und Prof. Dr. Gottfried Vossen, Universität Münster 9. Auflage Oldenbourg Verlag München Wien Inhaltsverzeichnis Vorwort

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Rechnerorganisation I Zusammenfassung

Rechnerorganisation I Zusammenfassung Universität der Bundeswehr München Fakultät für Informatik Institut für Technische Informatik Rechnerorganisation I Zusammenfassung Tobias Kiesling kiesling@informatik.unibw-muenchen.de 09.12.2003 2. Boole

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 Vorlesung mit begleitendem Praktikum Klaus Kasper Achtung! Vorlesung am 3.4.27 fällt aus! Nächste Vorlesung am 2.4.27! Organisation des Praktikums Betreuung: Michael Müller, Klaus

Mehr