Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator

Größe: px
Ab Seite anzeigen:

Download "Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator"

Transkript

1 13. Symposium Simulationstechnik ASIM bis 24. September 1999 Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator Joachim Haase, Wolfgang Vermeiren, Christoph Clauß, Peter Schwarz 1

2 13. Symposium Simulationstechnik ASIM bis 24. September 1999 Inhalt Einleitung - Was ist VHDL-AMS? Beschreibung digitaler Systeme Beschreibung analoger Systeme Beschreibung gemischt analog-digitaler Systeme Zusammenfassung 2

3 Einleitung anode v d rs i d i c LIBRARY IEEE, DISCIPLINES; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL; USE IEEE.MATH_REAL.ALL; ENTITY diode IS GENERIC (is0 : REAL := 1.0E-14; n, vj : REAL := 1.0; tt, cj0, rs : REAL := 0.0); PORT (TERMINAL anode, cathode : ELECTRICAL); END diode; ARCHITECTURE level0 OF diode IS QUANTITY vd ACROSS id, ic THROUGH anode TO cathode; QUANTITY qc : CHARGE; CONSTANT vt : REAL := ; BEGIN id == is0*(exp((vd-rs*id)/(n*vt)) - 1.0); cathode IF vd < vj USE qc == tt*id - 2.0*cj0*SQRT(vj**2 - vj*vd); ELSE qc == tt*id; Literatur: END USE; Christen, E.; Bakalar, K.; Dewey, A. M.; Moser, E.: Analog and Mixed-Signal Modeling Using the ic == qcídot; VHDL-AMS Language. 36 th DAC, END level0; 3

4 Einleitung Was ist VHDL-AMS (VHDL )? VHDL - AMS analog mixed signal Very High Speed Integrated Circuits VHSIC Hardware Description Language Erweiterung von VHDL um Elemente zur Beschreibung analoger und gemischt analog-digitaler sowie nichtelektrischer Systeme VHDL Obermenge von VHDL Beschreibung des analogen Teils führt auf Differentialgleichungssysteme (DAE, differential-algebraic equations), die sonst mit Spice, ELDO, Saber, Spectre,... gelöst werden. Vorgänger-Sprachen : MAST, HDL-A, SpectreHDL,... 4

5 Einleitung Vorteile von VHDL-AMS Systementwurf - gemischt analog-digitale Systeme (mixed-signal) - elektrisch-nichtelektrische Systeme (multi-domain) - Einbeziehung der Umgebung in Entwurf Analogentwurf Digitalentwurf - analoge Verhaltensmodellierung und -simulation - Vorbereitung der Analog-Synthese - genauere Modellierung (z. B. Submicron-Effekte) Entwurfsablauf - Modellaustausch erleichtert, Bibliotheken - simulatorunabhängige Modelle - Rechenzeitreduzierung durch Verhaltensmodellierung 5

6 Einleitung Einordnung im Vergleich zu anderen Beschreibungssprachen Quelle: 6

7 Beschreibung digitaler Systeme bei voller Implementierung von VHDL-AMS wie in VHDL möglich Grundkonzepte wie ENTITY/ARCHITECTURE-Beschreibung CONFIGURATION PACKAGE Bibliotheksarbeit sind auch in VHDL-AMS nutzbar und können bei der Beschreibung analoger und gemischt analog-digitaler Systeme eingesetzt werden. 7

8 Beschreibung analoger Systeme 8

9 Beschreibung analoger Systeme Erweiterungen zur Beschreibung des analogen Verhaltens weitere Klemmentypen: ENTITY... GENERIC (... PORT (... END ENTITY... Beispiele: QUANTITY TERMINAL neue Objekte und Datentypen neue Statements ARCHITECTURE Deklarationsteil Verhaltensbeschreibung END ARCHITECTURE branch QUANTITY free QUANTITY TERMINAL == PROCEDURAL 9

10 Beschreibung analoger Systeme Beschreibung des Klemmenverhaltens - Teilsystem mit konservativen Klemmen Definition der Klemmentypen Deklaration innerer Knoten - Typ der Flußgröße (Strom,...) - Typ der Differenzgröße (Spannung,...) - Bezugsknoten Einfügen innerer Zweige - Deklaration durch Angabe von Anfangs- und Endknoten sowie Zweigspannung (und -strom) - Beschreibung der Strom-Spannungsdurch simultaneous statement Beziehung ggf. mit zusätzlichen inneren Größen (free QUANTITY) tlw. Beschreibung innerer Zweige durch Komponenten 10

11 Beschreibung analoger Systeme Konservative Klemmen Flußgröße Differenzgröße Deklaration nichtelektrischer Klemmentypen möglich NATURE kinematic IS displacement ACROSS force THROUGH kinematic_ground REFERENCE; => multi-domain-beschreibungen Zusammenfassung wichtiger Deklarationen von Klemmentypen in PACKAGEs PACKAGEs aber nicht Bestandteil des Standards Bezugsknoten Standardisierung und vorläufige Absprachen werden angestrebt 11

12 Beschreibung analoger Systeme Nichtkonservative Klemmen (z. B. bei regelungstechnischem Block) Signalverläufe an Klemmen sind im Zeitbereich kontinuierlich in1 out1 Deklaration von Signalflußklemmen Beziehung zur Beschreibung des Verhaltens simultaneous statement ENTITY prop IS GENERIC (k1 : REAL := 1.0); PORT (QUANTITY in1 : IN REAL; QUANTITY out1 : OUT REAL); END ENTITY prop; Signalflußrichtung ARCHITECTURE a1 OF prop IS BEGIN out1 == k1 * in1; END ARCHITECTURE a1; 12

13 Beschreibung analoger Systeme Mathematische Formulierung (Prinzip) simultaneous statements (=> charakteristische Beziehungen ).... f (v, v,..., i, i,..., s, s, a in, a in,..., a out,...) = 0 kontinuierlich im Zeitbereich Notwendige Bedingungen für Lösbarkeit: v Zweigspannungen (Differenzgrößen) i Zweigströme (through QUANTITY; Flußgrößen) s zusätzliche innere Größen (free QUANTITY) a in Eingangsgrößen (QUANTITY... IN) an nichtkonservativen Klemmen a out Ausgangsgrößen (QUANTITY... OUT) an nichtkonservativen Klemmen Anzahl der charakteristischen Beziehungen = Anzahl der Zweige mit Flußgröße (through QUANTITY i) + Anzahl der free QUANTITY (s) + Anzahl der nichtkonservativen OUT-Klemmen (a out ) Lineare Unabhängigkeit der Beziehungen 13

14 1. Beispiel (analoge Systeme) 14

15 Diode (Problemstellung) anode rs i c i d = is 0 e v d rs i d n vt 1 v d i d q c = tt i d 2 cj0 vj 2 vj v d für v d < vj q c = tt i d sonst cathode Literatur: Christen, E.; Bakalar, K.; Dewey, A. M.; Moser, E.: Analog and Mixed-Signal Modeling Using the VHDL-AMS Language. 36 th DAC, i c = dq c dt Minioritätsladungsträger in den Bahngebieten überwiegen im Durchlaßbereich. Parameter wie bei Spice-Diodenmodell 15

16 Diode (VHDL-AMS-Modell) LIBRARY IEEE, DISCIPLINES; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL; USE IEEE.MATH_REAL.ALL; ENTITY diode IS GENERIC (is0 : REAL := 1.0E-14; n, vj : REAL := 1.0; tt, cj0, rs : REAL := 0.0); PORT (TERMINAL anode, cathode : ELECTRICAL); END diode; Literatur: Christen, E.; Bakalar, K.; Dewey, A. M.; Moser, E.: Analog and Mixed-Signal Modeling Using the VHDL-AMS Language. 36 th DAC, ARCHITECTURE level0 OF diode IS QUANTITY vd ACROSS id, ic THROUGH anode TO cathode; QUANTITY qc : CHARGE; CONSTANT vt : REAL := ; BEGIN id == is0*(exp((vd-rs*id)/(n*vt)) - 1.0); IF vd < vj USE qc == tt*id - 2.0*cj0*SQRT(vj**2 - vj*vd); ELSE qc == tt*id; END USE; ic == qcídot; END level0; 16

17 2. Beispiel (analoge Systeme) 17

18 Beschreibung analoger Systeme Strukturbeschreibung rc1 rc2 a b c LIBRARY DISCIPLINES; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.all; USE WORK.ALL; ENTITY rc_sprung IS END ENTITY rc_sprung; ARCHITECTURE v1 OF rc_sprung IS TERMINAL a, b, c : ELECTRICAL; BEGIN innere Knoten vs1: ENTITY sprung(v1) GENERIC MAP (t_rise => 2.0E-3) PORT MAP (a, electrical_ground); rc1: ENTITY rc(v1) GENERIC MAP (4.0E2, 1.0E-6) PORT MAP (a, b, electrical_ground); rc2: ENTITY rc(v1) GENERIC MAP (1.0E3, 1.0E-6) PORT MAP (b, c, electrical_ground); END ARCHITECTURE v1; 18

19 Beschreibung analoger Systeme Diskussion zum Beispiel Auf den ersten Blick aufwendiger als bei Spice. Auswege, die verfolgt werden: - graphische Schaltplaneingabe mit automatischer Netzlistengenerierung - Konverter zur Umwandlung von Spice-Netzlisten nach VHDL-AMS - Einbindung von VHDL-AMS-Modellen (Verhaltensmodellen) in Spice-Netzlisten z. Zt. kein PACKAGE mit Spice-kompatiblen Grundelementen (R, L, C, Transistoren,...) in VHDL-AMS verfügbar Ausweg (wird auch teilweise unterstützt): - Einbinden von Spice-Komponenten invhdl-ams 19

20 Beschreibung und Simulation gemischt analog-digitaler Systeme 20

21 Beschreibung gemischt analog-digitaler Systeme Datenaustausch zwischen analogen und digitalen Modellteilen analoger Modellteil liest digitale Signale digitaler Modellteil analoger Modellteil digitaler Modellteil liest analoge Verläufe ereignisgesteuerte Simulation nächster Ereigniszeitpunkt => next event time NET Lösen eines DAE-Systems mit Spice-Lösungsalgorithmus 21

22 Beschreibung gemischt analog-digitaler Systeme mixed-mode Simulationszyklus Tc Tn Lösung DAE zu Tn Delta-Zyklus Lösen der DAE von Tc bis Tn-0 digitale PROCESSe n alle Ereignisse zur Zeit Tn abgearbeitet? current time next event time (digitale Zeitpunkte) 1. Schritt: Simulation von Tc bis Tn 1) Delta-Zyklus: neuer ASP (analog solution point) zur Zeit Tn, wenn BREAK-Signal j Tc = altes Tn Tn = neue next event time 1) Falls vor Tn Event eintritt (durch Q ABOVE), wird Tn reduziert. 22

23 1. Beispiel (gemischt analog-digitale Systeme) 23

24 Beschreibung gemischt analog-digitaler Systeme Sigma-Delta-Wandler (Analog-Digital-Wandler) getakteter Komparator Eingang Ausgang Bit D/A clk Analoge Signale VHDL-AMS Digitale Signale Taktgenerator (VHDL) 24

25 Sigma-Delta-Wandler 1-Bit D/A Wandler (Randbeschreibung) neues Standard -PACKAGE digitale Eingangsklemmen vdd LIBRARY IEEE, DISCIPLINES; USE IEEE.STD_LOGIC_1164.all; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.all; port_in 1-Bit D/A vss pin_out elektrische Klemmen (konservativ; analog) ENTITY d2a_verz IS GENERIC (tv_01 : TIME := 2 ns; tv_10 : TIME := 3 ns; tf_01 : REAL := 5.0E-9; tf_10 : REAL := 6.0E-9); PORT (TERMINAL vdd, vss, pin_out: ELECTRICAL; SIGNAL port_in : IN UX01); END ENTITY d2a_verz; 25

26 Sigma-Delta-Wandler 1-Bit-D/A-Wandler (Funktionsbeschreibung) port_in pin_out ARCHITECTURE v1 OF d2a_verz IS QUANTITY v_pin_out ACROSS i_pin_out THROUGH pin_out; SIGNAL xout : REAL := 0.0; BEGIN PROCESS BEGIN Initialisierung eines digitalen reellwertigen Signals, das als Hilfsgröße benutzt wird Eingang xout t t+tv01 WAIT ON port_in; IF port_in = í1í THEN xout <= 2.7 AFTER tv_01; ELSE xout <= 0.0 AFTER tv_10; END IF; END PROCESS; Lesen digitaler von xout Signale für für analoge Beschreibung xout RAMP 0 t+tv01+tf01 v_pin_out == xout'ramp (tf_01, tf_10); END ARCHITECTURE v1; 26

27 Sigma-Delta-Wandler Vergleich der Ergebnisse bei VHDL-AMS-Simulation gegenüber Simulation mit anderem Simulator (für Beispiel) Pulseweite pro Periodendauer Eingang (sinus) Ausgang Unterschiede in Ausgangssignalen ( etwa ) (V) 100p 0.0 Bild 2 (V) : t(s) (out_port-out) -100p 3.0 (V) : /t(s) out Gleiche Genauigkeit, aber Formulierung der Modelle wird bei VHDL-AMS subjektiv als transparenter empfunden Ausgang mit anderem Simulator ( max. Signalwert 3.0) Ausgang bei VHDL-AMS (V) (V) (V) : t(s) out_port 500u 510u 520u 530u 540u 550u t(s) 27

28 Sigma-Delta-Wandler Diskussion zum Beispiel (1) 1/Bit-D/A-Wandler (ohne Initialisierung von xout) ARCHITECTURE v1 OF d2a_verz IS QUANTITY v_pin_out ACROSS i_pin_out THROUGH pin_out; SIGNAL xout : REAL; BEGIN... v_pin_out == xout'ramp (tf_01, tf_10); END ARCHITECTURE v1; Fehlermeldung bei Simulation: REAL LOW # Error: The initial value of Y12.AD.XOUT is -1e+38. No quiescent state solution from this starting point # Occurred in Y12.AD(V1/D2A_VERZ) at time 0 fs 28

29 Sigma-Delta-Wandler Diskussion zum Beispiel (2) kein Fehler der Implementierung des Simulators DAE-Löser Auswertung Digitalteil Arbeitspunktberechnung (quiescent state) beginnt mit Auswertung der analogen Beziehungen durch DAE-Löser dabei Lesen der Anfangswerte der digitalen Signale (im Beispiel für xout; Default-Wert für Initialisierung reellwertiger Signale) ggf. dadurch Konvergenzprobleme des DAE-Lösers mixed-mode Simulationszyklus => Berücksichtigung des mixed-mode Simulationszyklus bei Modellierung erforderlich. 29

30 2. Beispiel (gemischt analog-digitale Systeme) 30

31 Beschreibung gemischt analog-digitaler Systeme A/D-Wandler (ungetaktet) Eingang Ausgang V(A1) schwelle O A1 A/D O LIBRARY DISCIPLINES; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.all; ENTITY comparator IS GENERIC (schwelle : REAL := 2.5); PORT (TERMINAL A1 : ELECTRICAL; SIGNAL O : OUT BIT := í0í); END comparator; ARCHITECTURE ideal OF comparator IS QUANTITY v1 ACROSS A1; SIGNAL S : BOOLEAN; BEGIN S <= v1íabove (schwelle); PROCESS (S) BEGIN IF S = TRUE THEN O <= í1í; ELSE O <= í0í; END IF; T END PROCESS; F END ideal; v1 v1 ABOVE schwelle 31

32 Beschreibung gemischt analog-digitaler Systeme Beispiel für Anwendung des A/D-Wandlers: Vergleich von Gatter- und Transistorschaltungen Beschreibung der Eingangssignale als Digitalquellen VHDL (Gate Level) VDHL-AMS (Transistorbeschreibung und A/D - Wandler) Ziel: Vergleich der Signale s1 und s1d, s2 und s2d,... am Ausgang a1, b1, a2, b2, a3, b3, a4, b4, c0 a1, b1, a2, b2, a3, b3, a4, b4, c0 VHDL-Beschreibung auf Gatterniveau Beschreibung der Eingangssignale als Analogquellen Adder mit Transistormodellen carry look ahead adder s1, s2, s3, s4, c4 s1d s2d s3d s4d c4d A/D-Wandler zu vergleichende Signale 32

33 Vergleich von Gatter- und Transistorschaltungen Simulationsergebnisse 1. Gate Level 2. Transistorniveau s1 s2 => Verwendung desselben Ausgabetools möglich. s3 s4 c4 Vergleich der Genauigkeit bei unterschiedlicher Modellierung 33

34 Beispiel A/D-Wandler Diskussion (1) Verlauf an Eingang A1 ENTITY comparator IS GENERIC (schwelle : REAL := 2.5); PORT (TERMINAL A1 : ELECTRICAL; SIGNAL O : OUT BIT := í0í); END comparator; Verlauf an Ausgang O ARCHITECTURE geht_nicht OF comparator IS QUANTITY v1 ACROSS A1; BEGIN O <= To_bit (v1 > schwelle); END geht_nicht; keine Aktivierung des digitalen Lösungsalgorithmus ARCHITECTURE geht OF comparator IS QUANTITY v1 ACROSS A1; BEGIN PROCESS (v1íabove(schwelle)) BEGIN O <= To_bit (v1 > schwelle); END PROCESS; END geht; 34

35 Beispiel A/D-Wandler Funktion To_bit PACKAGE convert IS FUNCTION To_bit (condition : BOOLEAN) RETURN BIT; END convert; PACKAGE BODY convert IS FALSE 0 TRUE 1 FUNCTION To_bit (condition : BOOLEAN) RETURN BIT IS BEGIN IF condition THEN RETURN í1í; ELSE RETURN í0í; END IF; END FUNCTION To_bit; END PACKAGE BODY convert; 35

36 Beispiel A/D-Wandler Diskussion zum Beispiel (2) Analoge Signaländerungen werden (gemäß IEEE Standard) bei der Lösung der digitalen Beziehungen erst zum nächsten digitalen Ereigniszeitpunkt ausgewertet. Ggf. muß eine digitales Signal mit q ABOVE generiert werden, um bei Änderung von analogen Signalen den digitalen Lösungsalgorithmus zu aktivieren. D. h. Berücksichtigung des mixed-mode Simulationszyklus bei Modellierung erforderlich. 36

37 Modellierung gemischt analog-digitaler Systeme (1) Generierung digitaler Ereignisse über ABOVE-Attribut analoger Teil digital Teil PROCESSes Unterbrechung durch BREAK 37

38 Modellierung gemischt analog-digitaler Systeme (2) Ggf. Initialisierung digitaler (z. B. reellwertiger) Signale erforderlich Ggf. Generierung digitaler Ereignisse bei Änderungen analoger Signale, um digitalen Lösungsalgorithmus anzustoßen mit analog_quantity ABOVE (schwelle) Ggf. Aktivierung des analogen (DAE-) Lösers bei Änderungen im Digitalteil (z. B. während der Arbeistpunktberechnung) BREAK ON digital_out ; 38

39 Zusammenfassung 39

40 Zusammenfassung Stand der Aktivitäten Standardisierung der Sprache abgeschlossen Informationen der IEEE Working Group über kommerzielle Simulatoren im Laufe des Jahres verfügbar VHDL-AMS Design Station von Mentor Graphics VERIAS HDL TM - VHDL-AMS von Analogy Aktivitäten an Hochschulen und von Nutzergruppen z. B. Bereitstellung von Tools (z. B. Mast -> VHDL-AMS) 40

41 Zusammenfassung Folgerungen VHDL-AMS ist eine leistungsfähige Sprache, die Vorzüge von VHDL mit Möglichkeiten zur Beschreibung analoger und analog-digitaler Systeme vereint. VHDL-AMS ist eine Obermenge von VHDL. Gut lesbare digitale, analoge und gemischt analogdigitale Modelle können mit VHDL-AMS erstellt werden. Eine intuitive Modellierung ist möglich. Bei gemischt analog-digitalen Modellen müssen aber eventuell Besonderheiten des analog-digitalen Simulationszyklus berücksichtigt werden. Kommerzielle Werkzeuge (Simulatoren, Ergänzungstools) in Kürze verfügbar. Einsatzmöglichkeiten sollten geprüft und ggf. mit Einsatzvorbereitung (Qualifizierung,...) begonnen werden 41

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme

Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Erfahrungen mit VHDL-AMS bei der Simulation heterogener Systeme Peter Trappe Joachim Haase Peter Schwarz Wolfgang Vermeiren Inhalt Einleitung - Was ist VHDL-AMS? Beschreibung digitaler Systeme Beschreibung

Mehr

Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator

Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator 13. ASIM-Tagung Simulationstechnik, Weimar, 17.9.1999, Praxisforum 33-38 Erste Erfahrungen mit der Simulation von Mixed-Signal-Schaltungen mit einem VHDL-AMS-Simulator Joachim Haase, Wolfgang Vermeiren,

Mehr

Einheitliche Modellschnittstelle für Verhaltensmodelle im Verbundprojekt MIMOSYS

Einheitliche Modellschnittstelle für Verhaltensmodelle im Verbundprojekt MIMOSYS Einheitliche Modellschnittstelle für Verhaltensmodelle im Verbundprojekt MIMOSYS Christoph Clauß Joachim Haase Peter Schwarz 1 Inhalt Modellierungsansätze Verhaltensmodellierung Beschreibungssprachen Beispiel

Mehr

FEM-basierte Generierung von Verhaltensmodellen

FEM-basierte Generierung von Verhaltensmodellen FEM-basierte Generierung von Verhaltensmodellen Joachim Haase, Sven Reitz, Peter Schwarz Fraunhofer-Institut für Außenstelle EAS Dresden Zeunerstr. 38 01069 Dresden 1 Einleitung Beschleunigungssensor -

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS

Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Struktur einer toolunabhängigen Modellbibliothek in VHDL-AMS Vortrag beim ASIM-Fachgruppentreffen "Simulation Technischer Systeme am 11. März 2003 in Ulm Hans Gall, BAUSCH-GALL GmbH, München 21.03.2003

Mehr

Spezifikation eines Positionsmeßsystems mit Verhaltenssimulation

Spezifikation eines Positionsmeßsystems mit Verhaltenssimulation Spezifikation eines Positionsmeßsystems mit Verhaltenssimulation Sven Reitz und Joachim Haase Fraunhofer-Institut für Außenstelle EAS Dresden Lothar Häschel, Gerd Heinrich und Andreas Kruse A.S.T. Angewandte

Mehr

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL Grundelemente. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL Grundelemente Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Grundelemente 1/15 2009-07-31 Inhalt Folgende

Mehr

Vorlesung IHS2 VHDL. Wintersemester 2008/09. T. Vangelov / H.-D. Wuttke

Vorlesung IHS2 VHDL. Wintersemester 2008/09. T. Vangelov / H.-D. Wuttke Vorlesung IHS2 VHDL Wintersemester 2008/09 T. Vangelov / H.-D. Wuttke 1 VHDL VHDL: Very high speed integrated circuits Hardware Description Language Hardware-Beschreibung zum Zwecke der Patentanmeldung

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Vermeidung von VHDL-AMS- Modellierungsproblemen

Vermeidung von VHDL-AMS- Modellierungsproblemen Proc. ASIM 2002, 16. Symposium Simulationstechnik, Rostock, 10.-13. Sept. 2002, S. 536-541 Vermeidung von VHDL-AMS- Modellierungsproblemen Joachim Haase E-Mail : Joachim.Haase@eas.iis.fhg.de Fraunhofer-Institut

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 8 Bernd Finkbeiner 10/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Letzte Woche: Rückgekoppelte Schaltnetze!

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Entwurf und Simulation einfacher Logikelemente

Entwurf und Simulation einfacher Logikelemente Entwurf und Simulation einfacher Logikelemente Philipp Fischer 10. Dezember 2002 1 Inhaltsverzeichnis I Theoretische Grundlagen 3 Einleitung 3 Entwurf einer Schaltung 3 Entitys und Architectures.........................

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

1.1 VHDL-Beschreibung

1.1 VHDL-Beschreibung 1 Grundlegende Konzepte in VHDL 1.1 VHDL-Beschreibung Device A Design Entity A Entity Declaration Interface Delclaration Architecture Body Functional Definition Entity Declaration - Abstraktions eines

Mehr

Kfz-Bordnetz. Einfache Teilmodelle in VHDL-AMS

Kfz-Bordnetz. Einfache Teilmodelle in VHDL-AMS Kfz-Bordnetz Einfache Teilmodelle in VHDL-AMS Hella KG Hueck & Co. Ewald Hessel Dipl.-Ing. Zentrale Elektronik Simulations- und Softwaretechnik Hella KG Hueck & Co. Standort: Werk 2 Beckumer Str. 130 59555

Mehr

Aktuelle Trends bei der Simulation mechatronischer Systeme

Aktuelle Trends bei der Simulation mechatronischer Systeme Hauptseminar Technische Informationssysteme Dresden,18.06.2009 Gliederung Einleitung Modellierungssprache MODELICA Trends bei der Simulation VHDL-AMS Projekt MODELISAR Zusammenfassung Folie 2 Einleitung

Mehr

5 VHDL Einführung (I)

5 VHDL Einführung (I) 5 VHDL Einführung (I) VHDL = Very High Speed Integrated Hardware Description Language Dient der Beschreibung von Hardware bei: Dokumentation Simulation Synthese Hardwarebeschreibungssprachen (HDLs) sind

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

VHDL-AMS. Anwendungen und industrieller Einsatz von Yannick Herve. Oldenbourg Verlag München Wien

VHDL-AMS. Anwendungen und industrieller Einsatz von Yannick Herve. Oldenbourg Verlag München Wien VHDL-AMS Anwendungen und industrieller Einsatz von Yannick Herve Oldenbourg Verlag München Wien Inhalt Vorwort des Herausgebers der deutschen Ausgabe Begleitwort Vorwort Danksagungen XI XIII XV XVII 1

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Reconfigurable Computing. VHDL Crash Course. Chapter 2

Reconfigurable Computing. VHDL Crash Course. Chapter 2 Reconfigurable Computing VHDL Crash Course Chapter 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software Software-Co-Design Reconfigurable Computing VHDL VHDL: Ver high speed integrated circuits

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Entwurf und Verifikation digitaler Systeme mit VHDL

Entwurf und Verifikation digitaler Systeme mit VHDL Entwurf und Verifikation digitaler Systeme mit VHDL Wolfgang Günther Infineon AG CL DAT DF LD V guenther@informatik.uni freiburg.de, wolfgang.guenther@infineon.com Dr. Wolfgang Günther Einleitung 2 Inhalt

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung

VHDL-Einführung. Universität Hamburg MIN Department Informatik Eingebettete Systeme: VHDL-Einführung MIN Department Informatik VHDL-Einführung 1 MIN Department Informatik VHDL VHSIC Hardware Description Language Very High Speed Integrated Circuit Entwicklung 1983 vom DoD initiiert 1987 IEEE Standard Überarbeitungen

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

Einstellige binäre Addierschaltung (Addierer)

Einstellige binäre Addierschaltung (Addierer) VHDL Addierer 1 Einstellige binäre Addierschaltung (Addierer) Schnittstelle: Ports mit Modus IN bzw. OUT Signale Funktionsnetz: Ports, Funktionsblöcke, Verbindungen Signale für Ports und Verbindungen VHDL

Mehr

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen:

Unterprogramme. Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Unterprogramme Dr. Wolfgang Günther Unterprogramme 2 Unterprogramme Komplexes Verhalten kann modular mit Hilfe von Unterprogrammen beschrieben werden Es gibt zwei Arten von Unterprogrammen: Prozeduren

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010

VHDL Einleitung. Dr.-Ing. Volkmar Sieh. Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung Dr.-Ing. Volkmar Sieh Institut für Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2010 VHDL Einleitung 1/17 2010-04-14 Inhalt Entwurfsebenen und -sichten

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Einführung in VHDL (2)

Einführung in VHDL (2) Einführung in VHDL Digitale Systeme haben immer größere Bedeutung erlangt. Komplexität wurde dabei immer größer, sodass die Entwicklung digitaler Systeme zu weiten Teilen nur noch mit Computerunterstützung

Mehr

Modellierung von SC-Schaltungen für die Systemsimulation

Modellierung von SC-Schaltungen für die Systemsimulation Modellierung von SC-Schaltungen für die Systemsimulation Joachim Haase 1, Joachim Alber 2, Peter Jores 2, Peter Schwarz 1, Peter Trappe 1 1 Fraunhofer-Institut für Integrierte Schaltungen/Außenstelle EAS

Mehr

Entwurfsverfahren digitaler Schaltungen

Entwurfsverfahren digitaler Schaltungen Fakultät für Elektrotechnik und Informationstechnik Lehrstuhl für Entwurfsautomatisierung Univ.-Prof. Dr.-Ing. Ulf Schlichtmann Entwurfsverfahren digitaler Schaltungen II. Logiksimulation II. Logiksimulation

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011

VHDL Simulation. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2011 VHDL Simulation 1/20 2011-05-18 Motivation Der Simulationsalgorithmus

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik VHDL Crashkurs Übersicht 1. Einführung 2. Sprachkonstrukte 3. Designflow Christophe Bobda 3 1. VHDL VHDL:

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung

N. Schmiedel, J. Brass, M. Schubert VHDL Formelsammlung FH Regensburg, 01.12.2008. VHDL Formelsammlung VHDL Formelsammlung INHALTSVERZEICHNIS: 1 DATENOBJEKTE 2 1.1 SIGNAL: 2 1.2 VARIABLE: 2 1.3 CONSTANT 2 2 DATENTYPEN 2 2.1 selbstdefinierte Aufzähltypen (Deklaration) 3 2.2 Physikalische Datentypen 3 2.3

Mehr

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1

Outline Überblick VHDL/Verilog Designflow VHDL-Module Architektur-Beschreibungen Signale/Variablen. VHDL Einführung 1 VHDL Einführung 1 Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/12 1 / 44 Gliederung Überblick zu VHDL Vergleich VHDL/Verilog Designflow Aufbau

Mehr

15 Einführung in den Entwurf von Zustandsautomaten

15 Einführung in den Entwurf von Zustandsautomaten 15 Einführung in den Entwurf von Zustandsautomaten Die Ausgänge kombinatorischer Logik sind ausschließlich vom aktuellen Wert der Eingangssignale abhängig. MUX, Decoder, Code-Umsetzer und Addierer können

Mehr

Einsatz von standardisierten Verhaltensbeschreibungssprachen für die Modellierung in der Mikrosystemtechnik

Einsatz von standardisierten Verhaltensbeschreibungssprachen für die Modellierung in der Mikrosystemtechnik 9.GMM-Workshop, Paderborn, 31.3-1.4.03, 25-32 Einsatz von standardisierten Verhaltensbeschreibungssprachen für die Modellierung in der Mikrosystemtechnik Ch. Clauß, J. Haase, P. Schneider, P. Schwarz Fraunhofer

Mehr

Vortrag der Diplomarbeit

Vortrag der Diplomarbeit Vortrag der Diplomarbeit Entwicklung eines Continuous-Time Delta- Sigma Modulators für den Einsatz in der Positronen-Emissions-Tomographie von 07.09.2009 Überblick und Gliedergung: Teil 1: CT ΔΣ Modulator

Mehr

Pull-in-Spannungsberechnung mit VHDL-AMS

Pull-in-Spannungsberechnung mit VHDL-AMS ASIM2002, Rostock, 10.-13.09.2002, S. 399-404 Pull-in-Spannungsberechnung mit VHDL-AMS Joachim Haase und Sven Reitz E-Mail: Joachim.Haase Sven.Reitz@eas.iis.fhg.de Fraunhofer-Institut Integrierte Schaltungen

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Technisches Datenblatt Technical Data Sheet 3160-00-00.73A4B. Signalwandler für 4 analoge Eingangssignale 4-20mA auf CAN

Technisches Datenblatt Technical Data Sheet 3160-00-00.73A4B. Signalwandler für 4 analoge Eingangssignale 4-20mA auf CAN Seite/Page 1 von/of 5 MultiXtend CAN 3160-00-00.73A4B Signalwandler für 4 analoge Eingangssignale 4-20mA auf CAN Signal converter for four Thermocouple Type J converting to CAN-Bus Beschreibung Description

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Electronic Design Automation (EDA) Entwurfseingabe

Electronic Design Automation (EDA) Entwurfseingabe Electronic Design Automation (EDA) Entwurfseingabe Entwurfseingabe Systemebene...SystemC...SystemVerilog RT-Ebene...Verilog...VHDL Gatterebene...Gatternetzliste...Schematic Entry Elektrische Ebene/ Strukturelle

Mehr

Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation

Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation Sven Reitz, Jörg Becker, Joachim Haase, Peter Schwarz Fraunhofer-Institut für Außenstelle EAS Dresden Zeunerstr.

Mehr

Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation

Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation ASIM2000, Hamburg, 29.09.00, 153-160 Automatische Generierung von Modellen mechanischer Komponenten für die Systemsimulation Jörg Becker, Joachim Haase, Sven Reitz, Peter Schwarz Fraunhofer-Institut für

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

VHDL-AMS und Modelica - ein Vergleich zweier Modellierungssprachen

VHDL-AMS und Modelica - ein Vergleich zweier Modellierungssprachen 15. Symposium Simulationstechnik ASIM2001, Paderborn, 11.-14. 9. 2001,85-94 VHDL-AMS und Modelica - ein Vergleich zweier Modellierungssprachen Peter Schwarz, Christoph Clauß, Joachim Haase, André Schneider

Mehr

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik Vorlesung und Übung Modellierung, Simulation, Entwurf heterogener Systeme - Am Beispiel SystemC Dr. Christoph Grimm Professur Technische Informatik 1 Dr. Ch. Grimm - Modellierung und Simulation heterogener

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Schaltungssimulation

Schaltungssimulation Simulation von digitalen Schaltungen und Netzlisten 6. Digitale Analysen 7. Netzlisten 6.1 Bauteile in PSpice 6.2 Hybrid-Schaltungen 6.3 Digitale Signalquellen 6.4 Digitale Simulationen (Schaltungen, Darstellung,

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Automatisierte Generierung von Verhaltensmodellen für den Mikrosystementwurf

Automatisierte Generierung von Verhaltensmodellen für den Mikrosystementwurf Automatisierte Generierung von Verhaltensmodellen für den Mikrosystementwurf J. Haase, S. Reitz, P. Schwarz Fraunhofer-Institut für Außenstelle EAS Dresden Zeunerstr. 38 01069 Dresden 1 Inhalt 1 Einleitung

Mehr

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 -

Übersicht. Prof. Dr. B. Lang, HS Osnabrück Konstruktion digitaler Komponenten, 3. Hierarchischer und generischer VHDL-Entwurf - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer VHDL-Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Agenda. Durchgängiger Einsatz Hardware-unabhängiger Testfälle im MiL-, SiL- und HiL-Test

Agenda. Durchgängiger Einsatz Hardware-unabhängiger Testfälle im MiL-, SiL- und HiL-Test Durchgängiger Einsatz Hardware-unabhängiger Testfälle im MiL-, SiL- und HiL-Test 26. TAV Stuttgart Michael Müller Projektleiter Berner & Mattner Systemtechnik GmbH michael.mueller@berner-mattner.com MM,

Mehr

Design eines Spiking Image Sensor. eines Buffer Amplifiers

Design eines Spiking Image Sensor. eines Buffer Amplifiers Institute of Integrated Sensor Systems Dept. of Electrical Engineering and Information Technology Design eines Spiking Image Sensor & eines Buffer Amplifiers Abschlussprojekt im Fach Herstellungsverfahren

Mehr

HF-Entwurf mit Verifikation auf Systemebene am Beispiel eines Direct Conversion Receivers für W-CDMA Anwendungen

HF-Entwurf mit Verifikation auf Systemebene am Beispiel eines Direct Conversion Receivers für W-CDMA Anwendungen HF-Entwurf mit Verifikation auf Systemebene am Beispiel eines Direct Conversion Receivers für W-CDMA Anwendungen Dr. Jürgen Hartung, Cadence Design Systems GmbH Uwe Knöchel, FhG - IIS Dresden Cadence Design

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

VHDL Objekte und Typen

VHDL Objekte und Typen VHDL Objekte und Typen Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Objekte und Typen 1/27 2009-07-31 Inhalt

Mehr

Entwurf eines LC-VCOs für ein Lesegerät für RFID-Tags. Wei Wu

Entwurf eines LC-VCOs für ein Lesegerät für RFID-Tags. Wei Wu Entwurf eines LC-VCOs für ein Lesegerät für RFID-Tags Wei Wu Übersicht Einleitung (VCO, Eigenschaften) VCO- & Phasenrauschen-Theorie Schaltungsentwurf beruhend auf Topologie-Vergleich Simulationsergebnisse

Mehr

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen.

Array-Zuweisungen. Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Array-Zuweisungen Array-Zuweisungen können über die Position, den Namen oder gemischt erfolgen. Ausschnitte (slices) werden über die Indizes gebildet. Mehrdimensionale Arrays Mehrdimensionale Arrays werden

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Feld- und Systemsimulation

Feld- und Systemsimulation Titelmasterformat Produktentwicklung durch durch Klicken kombinierte bearbeiten Feld- und Systemsimulation Martin Hanke, CADFEM GmbH, Berlin 1 Aktoren sind Systeme Typische Anwendungen: Magnetschalter

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Simulation von in VHDL beschriebenen Systemen

Simulation von in VHDL beschriebenen Systemen Simulation von in VHDL beschriebenen Systemen Prof. Dr. Paul Molitor Institut für Informatik Martin-Luther-Universität Halle Aufbau der Lehrveranstaltung Literaturangaben Allgemeines zum Entwurf digitaler

Mehr

Simulation mit VHDL-AMS

Simulation mit VHDL-AMS Simplrer-Wrkshp, Chemnitz, 16.-18. 5. 2001, S. 183-185 Simulatin mit VHDL-AMS Jachim Haase Fraunhfer-Institut für Integrierte Schaltungen Außenstelle EAS Dresden, Zeunerstr. 38, 01069 Dresden Tel.: 0351-4640

Mehr

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien

Verilog. Modellbildung für Synthese und Verifikation von Bernhard Hoppe. Oldenbourg Verlag München Wien Verilog Modellbildung für Synthese und Verifikation von Bernhard Hoppe Oldenbourg Verlag München Wien Inhalt Vorwort des Herausgebers XI 1 Einleitung 1 2 Electronic-Design mit Verflog HDL 5 2.1 Der Design-Zyklus

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

Ermittlung mehrdeutiger Kennlinien elektrischmechanischer Systeme mit VHDL-AMS-Simulatoren

Ermittlung mehrdeutiger Kennlinien elektrischmechanischer Systeme mit VHDL-AMS-Simulatoren Workshop "Multi-Nature-Systems 1", Hamburg, 1..1, S.5-3 Ermittlung mehrdeutiger Kennlinien elektrischmechanischer Systeme mit VHDL-AMS-Simulatoren J. Haase, S. Reitz, P. Schwarz Fraunhofer-Institut für

Mehr