Vorlesung Modellierung und Simulation heterogener Systeme

Größe: px
Ab Seite anzeigen:

Download "Vorlesung Modellierung und Simulation heterogener Systeme"

Transkript

1 Vorlesung Modellierung und Simulation heterogener Systeme Modellierung heterogener Systeme Modellierung von Kommunikation Christoph Grimm 2003

2 Überblick über Semesterstoff Simulation ereignisdiskreter Systeme, SystemC Grundlagen Methodik Modellierung von Hw/Sw-Systemen Modellierung von Registertransfers Modellierung von Kommunikation: Bustransaktionen, Busprotokolle, Funktionale Modellierung Nichtdeterministische Modelle, Verfeinerung

3 Inhalt Kurze Zusammenfassung: Datentypen von SystemC Abstraktion der Kommunikation: Pingenaue Kommunikation Beispiel Buszyklengenaue Kommunikation Beispiel Modellierung der Kommunikation in Channels

4 Datentypen in SystemC 2.0

5 Signale auf RT-Ebene Signale entsprechen elektrischen Leitungen (Spannungs-)Pegel auf elektrischer Leitung ist Träger der binär dargestellten Information 1, High, H 0, Low, L Der Spannungspegel kann auf unterschiedliche Art und Weise zustandekommen; entsprechend sprechen wir von: Uninitialized ( U ) Forcing 1 ( 1 ) Forcing 0 ( 0 ) Forcing Unknown ( X ) Weak 1 ( H ) Weak 0 ( L ) Weak Unknown ( W ) High Impedance ( Z ) Don t care ( - )

6 Meilensteine der Verfeinerung Executable Spec. Untimed Functional Model Timed Functional Model Bus-Cycle Accurate Model Clock-Cycle Accurate Model Register-Transfer Model Parallelität geschätzte Laufzeiten [sec] Busse, Kommunikation Taktzyklen Realisierung

7 Modellierung der Kommunikation Bisher: Alles in einem Block Keine Kommunikation zwischen Blöcken. TI-RISC: Modellierung des Speichers als Array von Registern, auf die CPU mit IR = PC[RAM] Computer: CPU und Speicher sind zwei Bauelemente, die Bus miteinander kommunizieren. Bisher nicht betrachtet: Modellierung der Kommunikation! unmittelbar zugreifen kann.

8 Taktzyklen- und Pingenaue Kommunikation Einfaches Beispiel: Verbindung Ram/ChipsatzProzessor DB CS Glue CPU RAM Logic Cache Controller RAS, ( Chipsatz ) Write Adr CAS DB Write AB

9 Lesen aus Speicher

10 Lesen aus Speicher (Fast Page Mode Read Cycle) Typisch: Sehr viele spezielle Zugriffsmöglichkeiten, die Speicherzugriff beschleunigen

11 SC_MODULE(ti_ram) // Signals of the RAM interface: sc_inout<sc_lv<8> > db; sc_in<sc_lv<8> > adr; sc_in<sc_logic> write, ras, cas, cs; // 64k Speicher in 255 Reihen zu 255 Spalten a 8 Bit: sc_lv<8> ram[255][255]; sc_lv<8> row; // No timing modeled void row_adress() row = adr.read(); } // No timing modeled void read_write() if (cs.read() == '1') if (write.read() == '1') ram[row.to_uint()][adr.read().to_uint()] = db.read(); else db = ram[row.to_uint()][adr.read().to_uint()]; } SC_CTOR(ti_ram) SC_THREAD(row_adress) sensitive_pos << ras; SC_THREAD(read_write) sensitive_pos << cas; } };

12 SC_MODULE(ti_ram) // Signals of the RAM interface: sc_inout<sc_lv<8> > db; sc_in<sc_lv<8> > adr; Interface sc_in<sc_logic> write, ras, cas, cs; // 64k Memory in 255 Reihen zu 255 Spalten: sc_lv<8> ram[255][255]; sc_lv<8> row; // No timing modeled void row_adress() row = adr.read(); } Funktion, void read_write() if (cs.read() == '1') if (write.read() Verhalten == '1') von Interface ram[row.to_uint()][adr.read().to_uint()] = db.read(); else db = ram[row.to_uint()][adr.read().to_uint()]; } SC_CTOR(ti_ram) SC_THREAD(row_adress) sensitive_pos << ras; SC_THREAD(read_write) sensitive_pos << cas; } };

13 Abstraktion von Pin-Genauer Kommunikation am Beispiel Einfaches Beispiel: Verbindung Ram/Chipsatz/Prozessor ist recht komplex, aber nicht abstrahiert oder hinter hierarchischer Struktur verborgen! DB RAM CS Glue CPU Logic RAS, ( Chipsatz ) Write Adr CAS DB Write AB BUS

14 Modellierung von Kommunikation Komplexität von Hardware/Software-Systemen liegt häufig in Kommunikation : Caches, Puffer, Busse bzw. Businterfaces erfordern einen hohen Anteil vom Entwurfsaufwand. Optimierung des Systems läuft häufig auf Optimierung der Kommunikationsressourcen hinaus (Größe/Organisation Cache, Größe der Puffer, Anzahl und Geschwindigkeit der Busse). Daher in aktuellen Modellierungssprachen Möglichkeit, Kommunikation mit verschiedener Genauigkeit zu modellieren Aktuelle Modellierungssprachen: SpecC, SystemC, SystemVerilog

15 Abstraktionsebenen der Kommunikation Funktionale/Transaktionsgenaue Modellierung Kommunikation wird durch abstrakte Nachrichten modelliert Buszyklengenaue Modellierung Adapter stellen Übersetzung zu wichtigen PINs her Protokolle (Handshake, etc.) werden detailliert modelliert Taktzyklen- und Pingenaue Modellierung Kommunikation wird durch physikalische Signale modelliert Mindestens Taktzyklengenaue Betrachtung der Signale Für Leistungsevaluation u. Systemsimulation i. d. R. nicht nötig

16 Strategien zur Abstraktion der Kommunikation 1. Trennung von Funktion und Interface des Moduls Bessere Strukturierung des Modells, Adapterklassen schaffen Schnittstelle zu pingenauer Modellierung 2. Channels/Interfaces SpecC, SystemC, SystemVerilog Erlaubt es, einfaches, funktionales Verhalten eines Kanals zu modellieren (aber keine Events) 3. Hierarchische Kanäle SystemC Kanal ist eigenständiges Modul, welches hierarchische Struktur und eigene Events haben kann. 4. Polymorphe Signale, Actor-Basierte Modellierung ab SystemC 4.0, [in Forschung: Ptolemy (UCB), ASC (Uni FFM)]

17 Trennung von Funktion und Interface Vorteile: Systemevaluierung kann mit abstraktem, einfachen Modell begonnen werden, wo Funktionen direkt über Methodenaufrufe kommunizieren. Adapter(klassen) können später hinzugefügt werden und realisieren Übersetzung in konkretes, pin-genaues Protokoll. Trennung Funktion/Interface ermöglicht Re-Use der Funktion in anderer Umgebung!!!

18 Master/Slave Library von SystemC Unterstützt in SystemC Trennung von Funktion und Kommunikation Kommunikation von Modulen über Links. Master: Slave: Neue Prozesstypen: Master: Initiert eine Kommunikation ( Methodenaufruf) Slave: Wird aufgerufen Master/Slave Kommunikation bewirkt inlining des Slave-Prozesses.

19 Master/Slave Library von SystemC Normaler, ereignisdiskret aktivierter Prozess Channel: sc_link_mp Master: Slave: Zuordnung von Prozess zu gelesenem bzw. geschriebenen Port: SC_SLAVE( method_name, port_name) Ports: sc_inmaster, sc_outmaster, sc_inoutmaster, sc_master Ports: sc_outslave, sc_inslave, sc_inoutslave, sc_slave

20 Beispiel SC_MODULE(producer) sc_outmaster<int> output; Prozesse, die output schreiben. }; SC_MODULE(consumer) sc_inslave<int> input; void consume() } SC_CTOR(consumer) SC_SLAVE(consume, input); } }; producer prod("p1"); consumer cons("c1"); sc_link_mp<int> bus;//verbindung Master-Slave prod.output(bus); cons.input(bus);

21 Funktionale Modellierung des Speicherzugriffs am Beispiel Einfaches Beispiel: Direkte Verbindung RAM/CPU über funktionale Schnittstelle ( Functional Level Interface ) DB RAM do_data() CS CPU PC = bus_read(adr); Glue Logic RAS, ( Chipsatz ) Write Adr CAS DB Write AB

22 Modellierung des Speicherzugriffs mit Master/Slave Bibliothek RAM: do_data() do_row() do_column()

23 Zusammenfassung Modellierung von Kommunikation: Funktional Buszyklengenau Pingenau SystemC Master/Slave Library: Modellierung von Kommunikation auf o. g. Abstraktionsebenen SC_MASTER, SC_SLAVE sc_link_mp

24 Übung Installation Master/Slave Bibliothek Beispiele

25 Änderungen an CPU sc_in<bool> clk; sc_outmaster<sc_bv<8> > row; sc_outmaster<sc_bv<8> > col; sc_inoutmaster<sc_bv<8> > data; sc_bv<8> read_ram(unsigned addr) row = addr/255; col = addr%255; return data; } case fetch: ir = read_ram( pc.read().to_uint() ); pc = pc.read().to_uint()+1; zustand = decode; break;

26 SC_MODULE(ti_ram) sc_inoutslave<sc_bv<8> > data; sc_inslave<sc_bv<8> > row; sc_inslave<sc_bv<8> > col; void do_data() if(data.input()) ram[row_reg][col_reg] = data; else data = ram[row_reg][col_reg]; } void do_row() row_reg = row.read().to_uint(); } void do_col() col_reg = col.read().to_uint(); } unsigned row_reg, col_reg; sc_bv<8> ram[255][255]; // 64 kbyte SC_CTOR(ti_ram) SC_SLAVE(do_data, data); SC_SLAVE(do_row, row); SC_SLAVE(do_col, col); } }; Interface Funktion

27 Praktikum Praktikum "Modellierung&Simulation Vorname Mudiaga Frank Üfuk Ashley Max Name Obada Abromeit Ünlü? Williams Slowjagin Aufgabe, Betreuer PWM-Treiber (Ch. Grimm, Ch. Meise) Prozessor mit Cache-Controller (Ch. Grimm) Sigma-Delta-Wandler? (Ch. Grimm) Ventil (Christian Meise) Modellierung von Unsicherheit (W. Heupke)

Vorlesung Modellierung und Simulation heterogener Systeme

Vorlesung Modellierung und Simulation heterogener Systeme Vorlesung Modellierung und Simulation heterogener Systeme Modellierung heterogener Systeme Methodik v. Modellierung und Entwurf Modellierung von Signalen, Datentypen Christoph Grimm 2003 Zyklen-Basierte

Mehr

Vorlesung Modellierung und Simulation heterogener Systeme

Vorlesung Modellierung und Simulation heterogener Systeme Vorlesung Modellierung und Simulation heterogener Systeme Modellierung heteorgener Systeme (Methodik v. Modellierung und Entwurf) Christoph Grimm 2003 Überblick über Semesterstoff Simulation ereignisdiskreter

Mehr

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik

Vorlesung und Übung. Modellierung, Simulation, Entwurf heterogener Systeme. Dr. Christoph Grimm Professur Technische Informatik Vorlesung und Übung Modellierung, Simulation, Entwurf heterogener Systeme - Am Beispiel SystemC Dr. Christoph Grimm Professur Technische Informatik 1 Dr. Ch. Grimm - Modellierung und Simulation heterogener

Mehr

Bounded Model Checking mit SystemC

Bounded Model Checking mit SystemC Bounded Model Checking mit SystemC S. Kinder, R. Drechsler, J. Peleska Universität Bremen {kinder,drechsle,jp}@informatik.uni-bremen.de 2 Überblick Motivation Formale Verifikation Äquivalenzvergleich Eigenschaftsprüfung

Mehr

Rechnerorganisation. 1. Juni 201 KC Posch

Rechnerorganisation. 1. Juni 201 KC Posch .6.2 Rechnerorganisation. Juni 2 KC Posch .6.2 2 .6.2 Front Side Bus Accelerated Graphics Port 28 MHz Front Side Bus North Bridge RAM idge South Bri IDE USB PCI Bus 3 .6.2 Front Side Bus Front Side Bus

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

Wie groß ist die Page Table?

Wie groß ist die Page Table? Wie groß ist die Page Table? Im vorigen (typischen) Beispiel verwenden wir 20 Bits zum indizieren der Page Table. Typischerweise spendiert man 32 Bits pro Tabellen Zeile (im Vorigen Beispiel brauchten

Mehr

Ein Debugger für ASIC-Prototypen

Ein Debugger für ASIC-Prototypen Jürgen Haufe 1, Matthias Gulbins 1, Peter Schwarz 1, Christoph Fritsch 2, Jens Große 3 1 für 2 Bosch Telecom 3 SharcWare 1 Gliederung Motivation für Hardware-Debugging Anforderungen und Methode Architekturvarianten

Mehr

Schreiben von Pages. Schreiben einer Page in den Swap Space ist sehr teuer (kostet millionen von CPU Zyklen).

Schreiben von Pages. Schreiben einer Page in den Swap Space ist sehr teuer (kostet millionen von CPU Zyklen). Schreiben von Pages Schreiben einer Page in den Swap Space ist sehr teuer (kostet millionen von CPU Zyklen). Write Through Strategie (siehe Abschnitt über Caching) ist hier somit nicht sinnvoll. Eine sinnvolle

Mehr

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset.

Quiz. Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset. Quiz Gegeben sei ein 16KB Cache mit 32 Byte Blockgröße. Wie verteilen sich die Bits einer 32 Bit Adresse auf: Tag Index Byte Offset 32 Bit Adresse 31 3 29... 2 1 SS 212 Grundlagen der Rechnerarchitektur

Mehr

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen

Mikroprozessoren Grundlagen AVR-Controller Input / Output (I/O) Interrupt Mathematische Operationen Mikroprozessoren Grundlagen Aufbau, Blockschaltbild Grundlegende Datentypen AVR-Controller Anatomie Befehlssatz Assembler Speicherzugriff Adressierungsarten Kontrollstrukturen Stack Input / Output (I/O)

Mehr

STM32 - Schieberegister, SPI - u

STM32 - Schieberegister, SPI - u STM32 - Schieberegister, u23 2013 andy, florob, gordin, ike, meise, tobix, zakx e.v. http://koeln.ccc.de Cologne 2013-11-04 STM32 - Schieberegister, - u23 2013 1 Schieberegister Schieberegister Codebeispiel

Mehr

Mikroprozessortechnik Grundlagen 1

Mikroprozessortechnik Grundlagen 1 Grundlagen - Grundbegriffe, Aufbau, Rechnerarchitekturen, Bus, Speicher - Maschinencode, Zahlendarstellung, Datentypen - ATMELmega28 Progammierung in C - Vergleich C und C++ - Anatomie eines µc-programmes

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

Umstellung auf neue Pipeline

Umstellung auf neue Pipeline new_pipe Umstellung auf neue Pipeline»» Umstellung auf neue Pipeline Core mit 2 Port Registerfile In dieser Konfiguration wird am Registerfile ein Phasensplitting durchgeführt, um in jedem Takt 2 Register

Mehr

Virtueller Speicher und Memory Management

Virtueller Speicher und Memory Management Virtueller Speicher und Memory Management Speicher-Paradigmen Programmierer ein großer Adressraum linear adressierbar Betriebssystem eine Menge laufender Tasks / Prozesse read-only Instruktionen read-write

Mehr

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter SPEICHER UND CACHE. (c) Peter Sturm, University of Trier 1 9. SPEICHER UND CACHE (c) Peter Sturm, University of Trier 1 Inhalt Grundlagen Speichertypen RAM / ROM Dynamisches RAM Cache- Speicher Voll AssoziaNv n- Wege AssoziaNv Direct Mapping Beispiel: 8 Bit- Register

Mehr

Vorlesung Hardware-Beschreibungssprachen

Vorlesung Hardware-Beschreibungssprachen Vorlesung Hardware-Beschreibungssprachen Dr.-Ing. S. Arlt Fakultät EI Fachbereich Elektronische Schaltungen und Systeme S. Arlt TUI/EI/ESS HBS 0-1 Vorlesung Hardware-Beschreibungssprachen Kapitel 1 : Einführung

Mehr

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1.

Rechnerstrukturen. 5. Speicher. Inhalt. Vorlesung Rechnerstrukturen Wintersemester 2002/03. (c) Peter Sturm, Universität Trier 1. Rechnerstrukturen 5. Speicher 5.1 Motivation Speichertypen RAM / ROM Dynamisches RAM Inhalt Cache-Speicher Voll Assoziativ n-wege Assoziativ Direct Mapping 5.2 (c) Peter Sturm, Universität Trier 1 Der

Mehr

DIE EVOLUTION DES DRAM

DIE EVOLUTION DES DRAM DIE EVOLUTION DES DRAM Gliederung 1. Motivation 2. Aufbau und Funktionsweise 3. SDRAM 4. DDR SDRAM 5. DDR SDRAM Versionen 06.02.2018 Die Evolution des DRAM Folie 2 von 27 1. Motivation Motivation - Immer

Mehr

Cache Grundlagen. Schreibender Cache Zugriff. SS 2012 Grundlagen der Rechnerarchitektur Speicher 22

Cache Grundlagen. Schreibender Cache Zugriff. SS 2012 Grundlagen der Rechnerarchitektur Speicher 22 Cache Grundlagen Schreibender Cache Zugriff SS 212 Grundlagen der Rechnerarchitektur Speicher 22 Eine einfache Strategie Schreibt man nur in den Cache, werden Cache und darunter liegender Speicher inkonsistent.

Mehr

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die

Speicher (1) zur Realisierung eines Rechnerspeichers benötigt man eine Materie mit physikalischen Eigenschaften, die Speicher (1) Definition: Speichern ist die kurz- oder langfristige Änderung einer oder mehrerer physikalischer Eigenschaften einer Materie durch ein externes Ereignis. zur Realisierung eines Rechnerspeichers

Mehr

Entfernungsmesser. für den Raspberry Pi. Tim Riddermann / Nils Wortmann

Entfernungsmesser. für den Raspberry Pi. Tim Riddermann / Nils Wortmann Entfernungsmesser für den Raspberry Pi Tim Riddermann / Nils Wortmann Inhalt Einleitung Prinzip Hardware MCP3202 Software Anhang Praktikum 2 Einführung Erstellung eines Entfernungsmessers Sobald ein Objekt

Mehr

Configurable Embedded Systems

Configurable Embedded Systems Configurable Embedded Systems Prof. Dr. Sven-Hendrik Voß Wintersemester 2017 Technische Informatik (Master), Semester 2 Termin 3, 23.10.2017 Seite 2 Zynq Design Flow Configurable Embedded Systems Wintersemester

Mehr

Remote-Objekt-Überwachung. von Jan Schäfer und Matthias Merk

Remote-Objekt-Überwachung. von Jan Schäfer und Matthias Merk Remote-Objekt-Überwachung von Jan Schäfer und Matthias Merk Aufgabenstellung Steuerung von Sensoren zur Remote Objektüberwachung mit einem µc PIC16F874. Welcher Sensor hat gemeldet? Die Überwachung Mehrere

Mehr

Cache Blöcke und Offsets

Cache Blöcke und Offsets Cache Blöcke und Offsets Ein Cache Eintrag speichert in der Regel gleich mehrere im Speicher aufeinander folgende Bytes. Grund: räumliche Lokalität wird wie folgt besser ausgenutzt: Bei Cache Miss gleich

Mehr

Delta-Spezifikation SPC3LV (Mit Referenz auf SPC3)

Delta-Spezifikation SPC3LV (Mit Referenz auf SPC3) (Mit Referenz auf SPC3) 1 Allgemeines Das vorliegende Datenblatt des SPC3LV zeigt die Unterschiede (Deltas) des neuen SPC3LV zu dem bisherigen SPC3 (Hersteller: AMIS). 2 Erläuterungen: SPC3 = Siemens Profibus

Mehr

Rechnerorganisation. Einleitung. Karl C. Posch. co1.ro_

Rechnerorganisation. Einleitung. Karl C. Posch. co1.ro_ Technische Universität Graz Institut tfür Angewandte Informationsverarbeitung und Kommunikationstechnologie Rechnerorganisation 1 Einleitung Karl C Posch KarlPosch@iaiktugrazat co1 ro_2003 1 Information

Mehr

Rechner- organisa-on 2 TOY. Karl C. Posch.

Rechner- organisa-on 2 TOY. Karl C. Posch. Rechner- Technische Universität Graz Ins-tut für Angewandte Informa-onsverarbeitung und Kommunika-onstechnologie organisa-on 2 TOY Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2012. Ausblick. Erste HälEe

Mehr

Komponenten/Busse. Dr.-Ing. Volkmar Sieh. Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2009

Komponenten/Busse. Dr.-Ing. Volkmar Sieh. Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2009 Komponenten/Busse Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg SS 2009 Komponenten/Busse 1/34 2009-05-05 Übersicht 1 Praxis 2 Motivation

Mehr

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse

CPU Speicher I/O. Abbildung 11.1: Kommunikation über Busse Kapitel 11 Rechnerarchitektur 11.1 Der von-neumann-rechner Wir haben uns bisher mehr auf die logischen Bausteine konzentriert. Wir geben jetzt ein Rechnermodell an, das der physikalischen Wirklichkeit

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

Rechnerorganisation. Überblick über den Teil 13

Rechnerorganisation. Überblick über den Teil 13 Rechnerorganisation Teil 3 9. Juni 2 KC Posch Überblick über den Teil 3 Arbiter: Wie können sich 2 aktive Partner vertragen? Direkter Speicherzugriff: Ein Ko Prozessor zum Daten Schaufeln Die Verbesserung

Mehr

1,8V Flash and SRAM 28F3208W30

1,8V Flash and SRAM 28F3208W30 ,8V Flash and SRAM 28F328W3 Liers - PEG-Vorlesung WS2/2 - Institut für Informatik - FU Berlin 33,8V Flash and SRAM 28F328W3 BGA-Gehäuse Auf 7x9 mm Fläche 28MBit Flash und 8MBit SRAM Liers - PEG-Vorlesung

Mehr

Speicherarchitektur (23) Suchen einer Seite:

Speicherarchitektur (23) Suchen einer Seite: Speicherarchitektur (23) Suchen einer Seite: Vorlesung Rechnersysteme SS `09 E. Nett 7 Speicherarchitektur (24) Adressschema inklusive Seitenfehler: Vorlesung Rechnersysteme SS `09 E. Nett 8 Speicherarchitektur

Mehr

EEPROM Lesen/Schreiben über SPI-Bus

EEPROM Lesen/Schreiben über SPI-Bus EEPROM Lesen/Schreiben über SPI-Bus Experiment EEPROMtest 6 A.Schultze / DK4AQ 15.06.2013 Was ist ein EEPROM? EEPROM = Electrical Erasable Programmable Read Only Memory Ein EEPROM kann elektrisch geschrieben

Mehr

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI)

Serielle Kommunikation mit dem Arduino. Teil 1: Das Serial Peripheral Interface (SPI) Serielle Kommunikation mit dem Arduino Teil 1: Das Serial Peripheral Interface (SPI) Axel Attraktor e.v. 4. Juni 2012 Axel (Attraktor e.v.) 5. Arduino-Stammtisch 4. Juni 2012 1 / 25 Serielle Kommunikation

Mehr

Digitaltechnik. 6 Speicherelemente. Revision 1.4

Digitaltechnik. 6 Speicherelemente. Revision 1.4 Digitaltechnik 6 Speicherelemente A Revision 1.4 Übersicht Adressen Read-Only Memory ROM Random Access Memory RAM Datenbusse Caches Speicher Memory ROM: read-only memory RAM: random-access memory (besser

Mehr

Drehfeldorientierte Regelung einer permanentmagneterregten Synchronmaschine mit einem CAN-Bus-Interface auf Basis des Mikrocontrollers MC68332

Drehfeldorientierte Regelung einer permanentmagneterregten Synchronmaschine mit einem CAN-Bus-Interface auf Basis des Mikrocontrollers MC68332 Vorstellung der Diplomarbeit Thema: Drehfeldorientierte Regelung einer permanentmagneterregten Synchronmaschine mit einem CAN-Bus-Interface auf Basis des Mikrocontrollers MC68332 Mathias Koal Matr.Nr.:

Mehr

Adressierung von Speichern und Eingabe- Ausgabegeräten

Adressierung von Speichern und Eingabe- Ausgabegeräten Adressierung von Speichern und Eingabe- Ausgabegeräten Adressdecodierung Die Busstruktur von Prozessorsystemen verbindet die Bauteile über gemeinsame Leitungen. Auf dem Bus darf zu einer Zeit immer nur

Mehr

High Performance Embedded Processors

High Performance Embedded Processors High Performance Embedded Processors Matthias Schwarz Hardware-Software-Co-Design Universität Erlangen-Nürnberg martin.rustler@e-technik.stud.uni-erlangen.de matthias.schwarz@e-technik.stud.uni-erlangen.de

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

Bus Manuals SPI I2C. Busse und Sensoren. Twix. Chaos Computer Club Cologne Twix

Bus Manuals SPI I2C. Busse und Sensoren. Twix. Chaos Computer Club Cologne Twix Twix e.v. http://koeln.ccc.de 2017-10-30 Outline 1 Bus Basics Parallele Busse Serielle Busse 2 SPI SPI mit dem STM32 HAL 3 I2C Praxis I2C Anwenden 1 Bus Basics Parallele Busse Serielle Busse 2 SPI SPI

Mehr

Was ist die Performance Ratio?

Was ist die Performance Ratio? Was ist die Performance Ratio? Wie eben gezeigt wäre für k Pipeline Stufen und eine große Zahl an ausgeführten Instruktionen die Performance Ratio gleich k, wenn jede Pipeline Stufe dieselbe Zeit beanspruchen

Mehr

RAM - Random Access Memory

RAM - Random Access Memory RAM - Random Access Memory Random Access Memory (dt. Speicher mit wahlfreiem Zugriff), abgekürzt RAM, ist ein Speicher, der besonders bei Computern als Arbeitsspeicher Verwendung findet. RAMs werden als

Mehr

Entwurf und Optimierung heterogener ASIP-eFPGA-Architekturen

Entwurf und Optimierung heterogener ASIP-eFPGA-Architekturen Entwurf und Optimierung heterogener ASIP-eFPGA-Architekturen T. von Sydow, B. Neumann, H. Blume, T. G. Noll Lehrstuhl für Allgemeine Elektrotechnik und Datenverarbeitungssysteme RWTH Aachen Übersicht Motivation

Mehr

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters

Cswitch Seminar. HWS 08 Von Andreas Peters. Cswitch Seminar, Andreas Peters Cswitch Seminar Von Andreas Peters 1 Gliederung Einführung Daten / Anwendungsgebiete Aufbau: Kommunikationsstruktur Vier Tiles Sonstige Features Kleine Zusammenfassung 2 Einführung Was ist Cswitch? 3 Exceeding

Mehr

14.3 Kontrollogik. Allgemeines: Kontrollogik wird in 3 Stufen realisiert: Clock - Erzeugung. 2 Uhrzeit. PALs. /ck. Kontrollsignale.

14.3 Kontrollogik. Allgemeines: Kontrollogik wird in 3 Stufen realisiert: Clock - Erzeugung. 2 Uhrzeit. PALs. /ck. Kontrollsignale. 14.3 Kontrollogik Bernd Becker Technische Informatik II Allgemeines: Kontrollogik wird in 3 Stufen realisiert: 1 Clock - Erzeugung /ck ck 2 Uhrzeit 3 s 0, s 1, E 3 PALs Kontrollsignale I[31:24] Befehlsdekodierung

Mehr

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino

Der I²C-Bus. Vorstellung des Inter-Integrated Circuit -Bus. Aufbau und Funktionsweise. Beispiel PortExpander am Arduino Der I²C-Bus Vorstellung des Inter-Integrated Circuit -Bus Aufbau und Funktionsweise Beispiel PortExpander am Arduino Weitere Anwendungsmöglichkeiten Was ist der I²C-Bus entwickelt von Philips Anfang der

Mehr

Rechner- organisa-on. 1 Einleitung. Karl C. Posch.

Rechner- organisa-on. 1 Einleitung. Karl C. Posch. Rechner- Technische Universität Graz Ins-tut für Angewandte Informa-onsverarbeitung und Kommunika-onstechnologie organisa-on 1 Einleitung Karl C. Posch Karl.Posch@iaik.tugraz.at co1.ro_2012. InformaCon

Mehr

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first

2. Ansatzpunkt: Reduktion der Penalty Early Restart und critical word first 2. Ansatzpunkt: Reduktion der Penalty 2.1. Early Restart und critical word first Beide Techniken basieren darauf, die Wartezeit der CPU auf das Mindestmaß zu beschränken. Early restart lädt den Block wie

Mehr

Grundlagen der Rechnerarchitektur. Speicher

Grundlagen der Rechnerarchitektur. Speicher Grundlagen der Rechnerarchitektur Speicher Übersicht Speicherhierarchie Cache Grundlagen Verbessern der Cache Performance Virtueller Speicher SS 2012 Grundlagen der Rechnerarchitektur Speicher 2 Speicherhierarchie

Mehr

Wozu dient ein Logikanalysator?

Wozu dient ein Logikanalysator? Wozu dient ein Logikanalysator? Beispiel: Microcontroller Microcontroller kommen vor in Haushaltsgeräten (Waschmaschine,...) in Fahrzeugen (ABS, Motorsteuerung, Radio,...) in Computern (Tastatur, Festplatte,

Mehr

Compiler für f r Eingebettete Systeme (CfES)

Compiler für f r Eingebettete Systeme (CfES) Compiler für f r Eingebettete Systeme (CfES) Sommersemester 2009 Dr. Heiko Falk Technische Universität Dortmund Lehrstuhl Informatik 12 Entwurfsautomatisierung für Eingebettete Systeme Kapitel 9 Ausblick

Mehr

Kapitel 1 Parallele Modelle Wie rechnet man parallel?

Kapitel 1 Parallele Modelle Wie rechnet man parallel? PRAM- PRAM- DAG- R UND R Coles und Kapitel 1 Wie rechnet man parallel? Vorlesung Theorie Paralleler und Verteilter Systeme vom 11. April 2008 der Das DAG- Das PRAM- Das werkmodell Institut für Theoretische

Mehr

10: Serial Communication Interface (SCI)

10: Serial Communication Interface (SCI) 10: Serial Communication Interface (SCI) Sie verstehen das RS-232 Protokoll sowie das Zusammenspiel zwischen HW und SW bei der Kommunikation über die serielle Schnittstelle. 1. Systemüberblick Vom PC aus

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Einschub: HW-Zugriff aus dem Userspace

Einschub: HW-Zugriff aus dem Userspace Einschub: HW-Zugriff aus dem Userspace Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Einschub: HW-Zugriff aus dem

Mehr

Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++, 2. Teil

Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++, 2. Teil MÜNSTER Übung zur Vorlesung Wissenschaftliches Rechnen Sommersemester 2012 Auffrischung zur Programmierung in C++ 2. Teil 18. April 2012 Organisatorisches MÜNSTER Übung zur Vorlesung Wissenschaftliches

Mehr

Ein- Ausgabeeinheiten

Ein- Ausgabeeinheiten Kapitel 5 - Ein- Ausgabeeinheiten Seite 121 Kapitel 5 Ein- Ausgabeeinheiten Am gemeinsamen Bus einer CPU hängt neben dem Hauptspeicher die Peripherie des Rechners: d. h. sein Massenspeicher und die Ein-

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Wichtige Rechnerarchitekturen

Wichtige Rechnerarchitekturen Wichtige Rechnerarchitekturen Teil 5 INMOS Transputer, CSP/Occam 1 INMOS Transputer 1983 vorgestellt von der Firma INMOS (Bristol) (Entwicklung seit 1978) Der Name Transputer entstand als Kunstwort aus

Mehr

Arduino Nano. Hello World per LED On-Board LED (Pin D13) Beispiel: Arduino IDE / Beispiele / Basics / Blink 5 / 20

Arduino Nano. Hello World per LED On-Board LED (Pin D13) Beispiel: Arduino IDE / Beispiele / Basics / Blink 5 / 20 Inhalt Inbetriebnahme Arduino Nano Einführung I2C Bus Inbetriebnahme Real-Time-Clock DS1307 (I2C) Inbetriebnahme 4x 7-Segment Anzeige TM1637 Kombination RTC mit 7-Segment Anzeige => Uhr 2 / 20 Arduino

Mehr

4.0 Der Atmel AT89LPx052 Mikrocontroller

4.0 Der Atmel AT89LPx052 Mikrocontroller 4.0 Der Atmel AT89LPx052 Mikrocontroller Die ersten beiden Derivate der Atmel LP Familie sind der AT89LP2052 und der AT89LP4052 in verschiedenen Gehäusevarianten mit 2 Kbytes bzw. 4 KBytes Flash. Gegenüber

Mehr

Modelling with SystemC

Modelling with SystemC Modelling with SystemC Andrej Eisfeld May 22, 2011 1 Andrej Eisfeld Modelling with SystemC Inhaltsverzeichnis Grundlagen Die eigene CPU Synthese 2 Andrej Eisfeld Modelling with SystemC Fakten Open Source

Mehr

In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher

In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher Speicherhierarchie In heutigen Computern findet man schnellen/teuren als auch langsamen/billigen Speicher Register Speicherzellen, direkt mit der Recheneinheit verbunden Cache-Speicher Puffer-Speicher

Mehr

Arithmetische und Logische Einheit (ALU)

Arithmetische und Logische Einheit (ALU) Arithmetische und Logische Einheit (ALU) Enthält Blöcke für logische und arithmetische Operationen. n Bit Worte werden mit n hintereinander geschalteten 1 Bit ALUs bearbeitet. Steuerleitungen bestimmen

Mehr

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf

Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Vortrag zur Seminarphase der PG Solar Doorplate MSP430 Wichtigste Grundlagen von David Tondorf Technische Daten 16-Bit RISC Architektur bis zu 16 Mhz Vcc: 1,8-3,6V 64 KB FRAM 2 KB SRAM 7 Schlafmodi 5 16-Bit

Mehr

USB Core. Dokumentation. Version 1.0

USB Core. Dokumentation. Version 1.0 USB Core Dokumentation Version 1.0 Usb Core Dokumentation Version 1.0 Seite 2 von 9 Inhalt Inhalt... 2 Änderungen... 2 Allgemeines... 3 LibUsb... 3 Kurze Beschreibung der Signale... 4 Detaillierte Beschreibung

Mehr

auf differentiellen Leitungen

auf differentiellen Leitungen Eingebettete Taktübertragung auf differentiellen Leitungen Johannes Reichart Kleinheubacher Tagung Miltenberg, 28.09.2009 Institut für Prof. Elektrische Dr.-Ing. und Optische Manfred Nachrichtentechnik

Mehr

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary

GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565. preliminary GRAFIK 128x64 IN 2 GRÖSSEN MIT ST7565 Stand 10.2.2009 Displayabmessungen -6X9: 56x43mm (Abbildung) -6X8: 34x25mm TECHNISCHE DATEN * INKL. KONTROLLER ST7565 * VDD = 2,7... 3,3V * LOW POWER, ALSO IDEAL FÜR

Mehr

Komponenten/Busse. Dr.-Ing. Volkmar Sieh

Komponenten/Busse. Dr.-Ing. Volkmar Sieh Komponenten/Busse Dr.-Ing. Volkmar Sieh Department Informatik 4 Verteilte Systeme und Betriebssysteme Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2014/2015 V. Sieh Komponenten/Busse (WS14/15)

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

Tutorium Rechnerorganisation

Tutorium Rechnerorganisation Woche 11 Tutorien 3 und 4 zur Vorlesung Rechnerorganisation 1 Christian A. Mandery: KIT Universität des Landes Baden-Württemberg und nationales Grossforschungszentrum in der Helmholtz-Gemeinschaft www.kit.edu

Mehr

Komponenten/Busse. Dr.-Ing. Volkmar Sieh. Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011

Komponenten/Busse. Dr.-Ing. Volkmar Sieh. Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Komponenten/Busse Dr.-Ing. Volkmar Sieh Department Informatik 3: Rechnerarchitektur Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Komponenten/Busse 1/29 2010-09-28 Komponenten/Busse Praxis

Mehr

Simulink: Softwareentwurf für eingebettete Systeme ROS-Arduino-Interface. von Christian Schildwächter

Simulink: Softwareentwurf für eingebettete Systeme ROS-Arduino-Interface. von Christian Schildwächter Simulink: Softwareentwurf für eingebettete Systeme ROS-Arduino-Interface von Christian Schildwächter Simulink Simulink S-Functions Softwareprojekt ROS-Arduino-Interface Simulink 3 LIVE DEMO 4 External

Mehr

Mikrocomputertechnik. Systembus. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O

Mikrocomputertechnik. Systembus. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Systembus Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Der Ablauf erfolgt in zwei Schritten: o Anlegen von Adressen und Schreib/LeseRichtung o Schreiben bzw. Lesen der Daten

Mehr

Implementierung eines universellen IPv6 Protokollstapels

Implementierung eines universellen IPv6 Protokollstapels Fakultät Informatik, Inst. für Technische Informatik, Prof. für VLSI-Entwurfssysteme, Diagnostik und Architektur Implementierung eines universellen IPv6 Protokollstapels Kolloquium zum Masterpraktikum

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Datenpfad einer einfachen MIPS CPU

Datenpfad einer einfachen MIPS CPU Datenpfad einer einfachen MIPS CPU Zugriff auf den Datenspeicher Grundlagen der Rechnerarchitektur Prozessor 19 Betrachten nun Load und Store Word Erinnerung, Instruktionen lw und sw sind vom I Typ Format:

Mehr

Kap.3 Mikroarchitektur. Prozessoren, interne Sicht

Kap.3 Mikroarchitektur. Prozessoren, interne Sicht Kap.3 Mikroarchitektur Prozessoren, interne Sicht Kapitel 3 Mikroarchitektur 3.1 elementare Datentypen, Operationen und ihre Realisierung (siehe 2.1) 3.2 Mikroprogrammierung (zur Realisierung der Befehlsabarbeitung

Mehr

Eingebettete Taktübertragung auf Speicherbussen

Eingebettete Taktübertragung auf Speicherbussen Eingebettete Taktübertragung auf Speicherbussen Johannes Reichart Workshop Hochgeschwindigkeitsschnittstellen Stuttgart, 07.11.2008 Unterstützt durch: Qimonda AG, München Institut für Prof. Elektrische

Mehr

Multi-Port-Speichermanager für die Java-Plattform SHAP

Multi-Port-Speichermanager für die Java-Plattform SHAP Fakultät Informatik Institut für Technische Informatik, Professur für VLSI-Entwurfssysteme, Diagnostik und Architektur Multi-Port-Speichermanager für die Java-Plattform SHAP DASS 2008 Martin Zabel, Peter

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Mikrocomputertechnik. 5. Systembus R/W. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O. Der Ablauf erfolgt in zwei Schritten:

Mikrocomputertechnik. 5. Systembus R/W. Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O. Der Ablauf erfolgt in zwei Schritten: 5. Systembus Ein Mikroprozessor kommuniziert über den Systembus mit Speicher und I/O Der Ablauf erfolgt in zwei Schritten: o o Anlegen von Adressen und Schreib/LeseRichtung Schreiben bzw. Lesen der Daten

Mehr

Datenübertragung per Direct Memory Access (DMA)

Datenübertragung per Direct Memory Access (DMA) Datenübertragung per Direct Memory Access (DMA) Durch einen direkten Speicherzugriff können die Daten ohne Umweg über den Prozessor in den Speicher geschrieben werden. So lässt sich die Ausführungsgeschwindigkeit

Mehr

Rechnernetze und Organisation

Rechnernetze und Organisation Fetch-Execute 1 Übersicht Motivation Modulare Computer Bussyteme Fetch and Execute Einfaches Input/Output 2 Motivation Prinzipielle Funktionsweise einer CPU Minimalistischer Prozessor 3 Komponenten eines

Mehr

1 Abstract. 2 Entwicklung von SystemC

1 Abstract. 2 Entwicklung von SystemC 1 Abstract SystemC ist eine Systembeschreibungssprache auf Basis von C++. In ihren Anfängen war SystemC nur eine neue Hardwarebeschreibungssprache auf RTL Niveau sowie gleichzeitig Simulator für diese

Mehr

Teil 3: Parallel-I/O. Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet

Teil 3: Parallel-I/O. Studiengang Technische Informatik (TI) Prof. Dr.-Ing. Alfred Rożek. nur für Lehrzwecke Vervielfältigung nicht gestattet Teil 3: Parallel-I/O Studiengang Technische Informatik (TI) Prof Dr-Ing Alfred Rożek nur für Lehrzwecke Vervielfältigung nicht gestattet EMC45: Teil3 2112003 Folie: 1 Prof Dr-Ing Alfred Rozek Berlin Beispiel:

Mehr

Microcontroller Selbststudium Semesterwoche 9

Microcontroller Selbststudium Semesterwoche 9 Microcontroller Selbststudium Semesterwoche 9 Kurzfragen zum Thema Interrupts 1. Wozu werden Interrupts benötigt (Beispiele)? Interrupts werden überall dort verwendet, wo auf zeitkritische Ereignisse reagiert

Mehr

Handbuch Interface RS232 <> RS485

Handbuch Interface RS232 <> RS485 Handbuch Interface RS RS485 W&T Release 1.0 Typ 8600 06/00 by Wiesemann & Theis GmbH Irrtum und Änderung vorbehalten: Da wir Fehler machen können, darf keine unserer Aussagen ungeprüft verwendet werden.

Mehr

Beschreibung Modell Motorspindel

Beschreibung Modell Motorspindel Beschreibung Modell Motorspindel Allgemein : Mit dem Modell Motorspindel können sowohl Übungen im Bereich der Regelungstechnik als auch Aufgaben in der Controllertechnik mit allen gängigen Bussystemen

Mehr

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega

Einleitung Die Pins alphabetisch Kapitel 1 Programmierung des ATmega8 und des ATmega Einleitung... 11 Die Pins alphabetisch.... 12 Kapitel 1 Programmierung des ATmega8 und des ATmega328.... 15 1.1 Was Sie auf den nächsten Seiten erwartet... 19 1.2 Was ist eine Micro Controller Unit (MCU)?....

Mehr