Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Größe: px
Ab Seite anzeigen:

Download "Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)"

Transkript

1 ufgabe ufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die ufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! ei Multiple-Choice ufgaben können mehrere ntworten richtig sein. Falsche Kreuze führen zu Punktabzug!. Welche ussagen zum MOS-Transistor sind wahr? Die Wahl des Materials für die Gate-Metallisierung beeinflusst die Schwellspannung des MOS-Transistors. Die Dotierung des Substrates beeinflusst die Schwellspannung des MOS- Transistors. Ein Steigen der Temperatur führt zu höheren Ladungsträgerbeweglichkeiten, was die Transistorkenngrößen vergrößert..2 Welche Vorteile bietet der voll-kundenspezifische Entwurf? Es ist möglich die Verlustleistung der Schaltung zu optimieren. Die Chipfläche lässt sich minimieren. Kostengünstig bei kleinen Stückzahlen. Kurze Entwurfszeit durch programmierbare Logikblöcke..3 Sub-Threshold Ströme (I off ) in CMOS-Logik-Schaltungen können reduziert werden, indem die Threshold Spannungen erhöht werden. Dazu werden die ulk-potentiale wie folgt geändert: p-substrat erhöht reduziert n-wanne erhöht reduziert Integrierte Digitalschaltungen F08 - Seite von 2

2 ufgabe In bb.. ist das Layout eines CMOS-Inverters dargestellt. Das eingezeichnete Hilfsraster hat eine Gitterkonstante von 2 g. Der bstand g entspricht daher einem halben Kästchen des Hilfsrasters. Folgende Werte seien bekannt: Versorgungsspannung Spannung am Masseknoten Schwellspannung des n-kanal MOSFET Schwellspannung des p-kanal MOSFET NORMIERTE Transistorkenngrößen ( ) k = k L W U DD =,5 V U SS = U GND = 0 V U th,n = 300 mv U th,p = 450 mv k n = 3k p = 30 µ V 2 Die Kanallängenmodulation sei in der gesamten ufgabe zu vernachlässigen. bb..: Layout eines CMOS-Inverters Integrierte Digitalschaltungen F08 - Seite 2 von 2

3 ufgabe p-substrat -kanal -kanal bb..2: Querschnitt eines CMOS-Inverters.4 a) Vervollständigen Sie den Querschnitt eines Inverters aus bb..2! Geben Sie hierzu die rt der Dotierung aller Wannen und Diffusionsgebiete an und beschriften Sie alle nschlüsse (G, D, S, U DD, U SS, U E, U ). b) Kennzeichnen und beschriften Sie in bb.. die Weite W n und die Länge L n des n-kanal Transistors sowie die Weite W p und die Länge L p des p-kanal Transistors. c) Zeichnen Sie das Schaltbild zum Layout aus bb... Lesen Sie die Kanalweiten und die Kanallänge aus dem Layout ab! Warum besitzen die Transistoren unterschiedliche Weiten? d) Die ulk-nschlüsse der Transistoren (n-wanne und p-substrat) sind in bb.. noch nicht kontaktiert. Fügen Sie jeweils einen Kontakt (siehe Legende) hinzu, sodass der Inverter korrekt angeschlossen ist..5 Im Folgenden soll die Eingangsspannung U schalt des Inverters aus bb.. im Umschaltpunkt berechnet werden. a) Welche Zusammenhänge zwischen den beiden Drainströmen sowie zwischen Eingangs- und usgangsspannung gelten im Umschaltpunkt? b) Zeigen Sie, ob in jedem Fall sichergestellt werden kann, dass sich im Umschaltpunkt beide Transistoren im bschnürbereich befinden. c) erechnen Sie unter diesen Voraussetzungen U schalt sowohl allgemein als auch nummerisch. Integrierte Digitalschaltungen F08 - Seite 3 von 2

4 ufgabe d) Skizzieren Sie qualitativ richtig die Übertragungskennlinie des Inverters in bb..3 und kennzeichnen Sie U schalt sowie die Punkte, an denen einer der Transistoren seinen rbeitsbereich wechselt. e) us welchen nteilen setzt sich die Verlustleistung eines CMOS-Inverters zusammen? Erklären Sie jeweils kurz die Ursache dieser Komponenten (ohne Rechnung)! U [mv] U [mv] bb..3: Übertragungskennlinie eines CMOS-Inverters E Integrierte Digitalschaltungen F08 - Seite 4 von 2

5 ufgabe Zusatzblatt zur ufgabe Integrierte Digitalschaltungen F08 - Seite 5 von 2

6 ufgabe 2 ufgabe 2: CMOS-Logik (25 Punkte) Diese ufgabe beschäftigt sich mit dem in bb. 2. dargestellten System, welches in der Kryptographie eingesetzt werden kann. Wesentlicher estandteil ist ein Schieberegister, welches eine Rückkopplung aus ND- und XOR-Gattern besitzt und eine zufällige itfolge erzeugt. Zur Verschlüsselung einer itfolge (Klartext) wird diese mit der Zufallsfolge XOR-verknüpft. Der Schlüssel wird dabei durch die Struktur des Rückkopplungsnetzwerks vorgegeben und durch die Programmierung der Speicherzellen eingestellt. nmerkung: Die beschriebene itstrom-verschlüsselung ist zwar höchst unsicher, aber einfach zu realisieren und für hohe Datenraten geeignet. Rückgekoppeltes Schieberegister φ Klartext FF Speicher Zelle & FF Speicher Zelle & FF Speicher Zelle & FF Speicher Zelle & Zufalls folge = Geheimtext = = = bb. 2.: Kryptographische nwendung eines Schieberegisters. 2. Das System aus bb. 2. erzeugt einen verschlüsselten itstrom (Geheimtext) mit einer Datenrate von maximal 2 Gbit/s. Für die verwendeten Gatter gilt: FF: Setup-Zeit t SU = 75 ps Halte-Zeit t H = 35 ps Verzögerungszeit t φ Q = 50 ps ND: Verzögerungszeit t ND = 84 ps erechnen Sie die Gatterlaufzeit der verwendeten XOR-Gatter! 2.2 Nun werden mögliche Realisierung für die Logik-Gatter betrachtet. a) Zeichnen Sie auf Transistorebene das Pull-Down-Netzwerk eines XOR-Gatters in statischer CMOS-Technik! Hinweis: Sie können davon ausgehen, dass die Eingangssignale ebenfalls in negierter Form ( und ) zur Verfügung stehen. Integrierte Digitalschaltungen F08 - Seite 6 von 2

7 ufgabe 2 b) etrachten Sie das ND-Gatter in bb ei welchem Signalwechsel (,) = (?,?) (?,?) tritt die größte Entladezeit auf? U DD K T T 2 Q T 3 T 4 bb. 2.2: ND-Gatter. C L c) Die maximale Ladezeit des ND-Gatters tritt beim Signalwechsel (,) = (0,0) (,) auf. Welches Potential muss dazu der Knoten K vor dem Signalwechsel besitzen? d) Zeichnen Sie das RC-aumdiagramm für den Ladevorgang nach ufg. 2.2 c). e) Geben Sie unter erücksichtigung des Miller-Effekts Formeln für die im aumdiagramm enthaltenen Kapazitäten an und berechnen Sie ihre Zahlenwerte für folgenden Fall: Lastkap.: NMOS: PMOS: C L = 80 ff C D,n = C S,n = C GD,n = C GS,n = C n = 5 ff C D,p = C S,p = C GD,p = C GS,p = C p = 2 C n f) Wie groß muss der Widerstand R p = R n /2 sein, damit die maximale Ladezeit der oben angegebenen Verzögerungszeit t ND entspricht? 2.3 Gegeben ist nun die Schaltung in bb a) Wie wird diese Schaltungstechnik genannt? b) estimmen Sie die Funktionsgleichung Q = f(,,, ) des Netzwerkes N! c) Wie wird diese Funktion genannt? d) estimmen Sie die Funktionsgleichung des Netzwerkes N2 in bb. 2.3! e) Vervollständigen Sie das Netzwerk N2 in bb. 2.3! Integrierte Digitalschaltungen F08 - Seite 7 von 2

8 ufgabe 2 Die nzahl der Transistoren des Logikgatters kann um zwei reduziert werden, ohne die Funktion zu verändern. f) Zeichnen Sie das um zwei Transistoren reduzierte Gatter aus bb. 2.3 auf Transistorebene. Hinweis: Sie können folgenden Zusammenhang nutzen: XY + XY = X(Y + Y) UDD Q Q N N2 GND bb. 2.3: Schaltbild Logikgatter Integrierte Digitalschaltungen F08 - Seite 8 von 2

9 ufgabe 2 Zusatzblatt zur ufgabe Integrierte Digitalschaltungen F08 - Seite 9 von 2

10 ufgabe 3 ufgabe 3: Schaltwerke und Speicher (25 Punkte) Flip Flops und Latches sind Schaltungskomponenten, die eine Vielzahl von ufgaben erfüllen. Mit ihnen können binäre Werte zwischengespeichert werden, um z.. Logikblöcke mit unterschiedlichen Laufzeiten zu synchronisieren. Im Folgenden sollen die Eigenschaften von Flip Flops und Latches näher untersucht werden. 3. Erklären Sie den Unterschied zwischen den egriffen zustandsgesteuert und flankengesteuert! Gegeben ist die Schaltung in bb. 3. a) und die zugehörige Wahrheitstabelle in bb. 3. b). 3.2 Vervollständigen Sie die Wahrheitstabelle in bb. 3. b). 3.3 Wie wird diese Schaltung genannt? φ UDD E T φ T p Q E φ Q T n UDD GND T p2 0 T n2 GND a) b) bb. 3.: Schaltbild und Wahrheitstabelle Integrierte Digitalschaltungen F08 - Seite 0 von 2

11 ufgabe 3 Im Folgenden gilt: U th,n = U th,p = 0,3 V und UDD =,2 V 3.4 Der Passtranstistor T φ verbindet Knoten E mit Knoten. Welche etriebszustände (ohmscher ereich, bschnürbereich, Sperrbereich) durchläuft T φ, wenn eine logische übertragen werden soll? Hinweis: Die Startbedingungen zum Umschaltzeitpunkt sind: φ wechselt von 0 V auf UDD, U E =UDD, U =0 V U etriebs zustand I D UDD U th,φ U UDD gesperrt I D = 0 Das Schaltverhalten des Inverters Inv mit den Transistoren T n und T p kann als ideal angesehen werden. Somit gilt: U Q = UDD wenn U < U Sp = UDD/2 U Q = 0 V wenn U U Sp = UDD/2 3.5 Welche etriebszustände (ohmscher ereich, bschnürbereich, Sperrbereich) durchlaufen die Transistoren T n2 und T p2 im ereich von 0 V U < U Sp? U etriebs zustand I D T n2 T p2 Integrierte Digitalschaltungen F08 - Seite von 2

12 ufgabe Geben Sie die Stromgleichung des Knotens im ereich 0 V U < U Sp an! 3.7 Wie groß muss das Verhältniss der Transistorweiten w φ w n2 Inverter Inv umschaltet? mindestens sein, damit der 3.8 Wie groß ist der Maximalwert des Stromes I D,n2 durch den Transistor T n2 während des Umschaltvorgangs? Die Transistorkenngröße beträgt k n2 =,389 m V Das in ufgabenteil 3.7 berechnete minimale Verhältniss der Transistorweiten w φ w n2 kann reduziert werden, wenn der Umschaltpunkt U Sp des Inverters Inv geändert wird. Muss U Sp vergrößert oder verringert werden? egründen Sie ihre ntwort! 3.0 Wenn man die Schaltung um einen Transistor erweitert, kann der Querstrom durch T n2 während des Umschaltvorgangs vermieden werden. Zeichnen Sie die Schaltung mit dem zusätzlichen Transistor! Integrierte Digitalschaltungen F08 - Seite 2 von 2

13 ufgabe 3 Zusatzblatt zur ufgabe Integrierte Digitalschaltungen F08 - Seite 3 von 2

14 ufgabe 4 ufgabe 4: VHDL und Hochintegration (25 Punkte) In dieser ufgabe werden grundlegende Kenntnisse der Hardwarebeschreibungssprache VHDL und ihre nwendung auf den Entwurf mikroelektronischer Schaltungen geprüft. 4. In diesem Unterpunkt sollen allgemeine Fragen zu VHDL beantwortet werden. itte kennzeichnen Sie die von Ihnen gemachten Multiple-Choice-ntworten eindeutig! Pro ufgabe können auch mehrere ntworten richtig sein! Falsche Kreuze führen zu Punktabzug! a) Geben Sie an, welche der folgenden ussagen zu VHDL wahr sind: Die eschreibung paralleler nweisungen ist das Grundprizip von VHDL. VHDL wird zur Modellierung digitaler Schaltungen auf Transistorebene eingesetzt. Nur die Datenflussbeschreibung in VHDL ist synthetisierbar. VHDL ist eine Hardwarebeschreibungssprache (HDL steht für Hardware Description Language). Die architecture einer VHDL eschreibung beinhaltet die Funktion der Schaltung. Prozesse sind estandteil einer VHDL Verhaltensbeschreibung. b) Geben Sie an, welche der folgenden ussagen zum Schlüsselwort generic wahr sind: Mit dem Schlüsselwort generic werden Simulationsparameter eingestellt. Mit dem Schlüsselwort generic können Parameter an Komponenten übergeben werden. Mit dem Schlüsselwort generic werden Port Ein- und usgänge generiert. Das Schlüsselwort generic kann in der entity zum Erzeugen von parametrisierten Komponenten verwendet werden. Das Schlüsselwort generic kann in der architecture zum Einbinden parametrisierter Komponenten in einer Strukturbeschreibung verwendet werden. Das Schlüsselwort generic wird ausschliesslich in Prozessen zum Deklarieren von Variablen verwendet. Integrierte Digitalschaltungen F08 - Seite 4 von 2

15 ufgabe 4 c) Gegeben ist die folgende Komponente schaltung: C bb. 4.: Komponente schaltung Geben Sie an, welche der folgenden ussagen zutreffend sind: In einer VHDL eschreibung kann eine Zeile der entity lauten :, : in std_logic; In einer VHDL eschreibung kann eine Zeile der entity lauten :, : in std_logic_vector(3 downto 0); In einer VHDL eschreibung kann die architecture folgende Zeile enthalten : C <= (not ) or (not ); In einer VHDL eschreibung kann die architecture folgende Zeile enthalten : C <= not ( or ); Die Komponente schaltung ist ein XOR Gatter. Die Komponente schaltung ist ein NND Gatter. 4.2 Für die folgenden ufgaben sollen die Gatter aus bb. 4.2 verwendet werden, die in beliebiger nzahl zur Verfügung stehen. not and or xnor & = bb. 4.2: Zur Verfügung stehende Gatter. Integrierte Digitalschaltungen F08 - Seite 5 von 2

16 ufgabe 4 a) Im Folgenden soll die Komponente comparator aus bb. 4.3 in VHDL beschrieben werden. Diese besitzt 5 Ein- und 3 usgänge des Typs std_logic. Mit dieser Komponente werden die Eingangssignale und verglichen. Zusätzlich besitzt die Komponente comparator drei weitere Eingänge G_in, E_in und L_in für Ergebnisse aus höherwertigen itstellen, wodurch ein einfacher ufbau von N-it Komparatoren ermöglicht wird. Ergänzen Sie den Quelltext der entity comparator, indem Sie die Ports der Komponente definieren! G_in E_in L_in E_out comparator bb. 4.3: Komponente comparator entity comparator is port( -- hier die entity ergänzen ); end comparator; Integrierte Digitalschaltungen F08 - Seite 6 von 2

17 ufgabe 4 b) Zunächst werden die Eingänge G_in, E_in und L_in der Komponente comparator nicht berücksichtigt. Gegeben ist eine Tabelle mit der Zurdnung zwischen Eingangsbedingung und dem einzigen aktiven, auf logisch gesetzten, usgangsport. Ergänzen Sie die folgende Wahrheitstabelle und vervollständigen Sie anschließend den für diese ufgabe markierten Schaltungsteil der Komponente comparator indem Sie entsprechende Gatter in die dafür vorgesehenen Felder in bb. 4.4 einzeichnen! G'_out E'_out L'_out > = < G'_out E'_out L'_out G'_out E'_out E_out L'_out E_in G_in L_in zu b) zu c) bb. 4.4: Logik der Komponente comparator Integrierte Digitalschaltungen F08 - Seite 7 von 2

18 ufgabe 4 c) Nun sollen alle 5 Eingänge der Komponente berücksichtigt werden. Vervollständigen Sie mit Hilfe der gegebenen Tabelle den für diese ufgabe markierten Schaltungsteil der Komponente comparator indem Sie entsprechende Gatter in die dafür vorgesehenen Felder in bb. 4.4 einzeichnen! & G_in E_in L_in > = E_out < d) Ergänzen Sie den folgenden Quelltext, indem Sie die Funktion der Komponente comparator als Datenflussbeschreibung einfügen! architecture datenfluss of comparator is begin -- hier die Datenflussbeschreibung einfügen! end datenfluss; Integrierte Digitalschaltungen F08 - Seite 8 von 2

19 ufgabe 4 e) Die usgänge der Komponente comparator sollen nun synchron zur positiven Taktflanke betrieben werden. Dazu wird erstens ein zusätzlicher Port mit der ezeichnung clk eingefügt. Zweitens werden an den usgangsports mit clk getaktete D-FlipFlops verwendet. Kreuzen Sie an mit welcher der folgenden VHDL eschreibungen dieses Verhalten modelliert werden kann! -- eschreibung process(clk) begin if clk = then -- hier steht die -- Datenflussbeschreibung end if; end process; -- eschreibung 2 process(clk) begin if rising_edge(clk) then -- hier steht die -- Datenflussbeschreibung end if; end process; -- eschreibung 3 process(clk) begin if clk event then -- hier steht die -- Datenflussbeschreibung end if; end process; f) Nun soll die Funktion der taktsynchronen Komponente comparator nach ufgabenteil e) überprüft werden. Ergänzen Sie hierzu die fehlenden Signalverläufe in bb. 4.5! g) Jetzt soll mit Hilfe der nicht getakteten Komponente comparator ein 4-it- Komparator aufgebaut werden. Verschalten Sie dazu die Komponenten in der bb enutzen Sie für die Eingangssignale die ezeichnungen (0) bis (3) und (0) bis (3). Vergessen Sie nicht, die Eingänge der ersten Stufe mit logischen Signalen zu belegen! Integrierte Digitalschaltungen F08 - Seite 9 von 2

20 ufgabe 4 clk G_in E_in L_in E_out bb. 4.5: Signalverläufe G_in G_in G_in G_in E_in E_out E_in E_out E_in E_out E_in E_out L_in L_in L_in L_in comparator comparator comparator comparator bb. 4.6: 4-it-Komparator Integrierte Digitalschaltungen F08 - Seite 20 von 2

21 ufgabe 4 Zusatzblatt zur ufgabe Integrierte Digitalschaltungen F08 - Seite 2 von 2

22 ufgabe ufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte). Welche ussagen zum MOS-Transistor sind wahr? Die Wahl des Materials für die Gate-Metallisierung beeinflusst die Schwellspannung des MOS-Transistors. Die Dotierung des Substrates beeinflusst die Schwellspannung des MOS- Transistors. Ein Steigen der Temperatur führt zu höheren Ladungsträgerbeweglichkeiten, was die Transistorkenngrößen vergrößert..2 Welche Vorteile bietet der voll-kundenspezifische Entwurf? Es ist möglich die Verlustleistung der Schaltung zu optimieren. Die Chipfläche lässt sich minimieren. Kostengünstig bei kleinen Stückzahlen. Kurze Entwurfszeit durch programmierbare Logikblöcke..3 Sub-Threshold Ströme (I off ) in CMOS-Logik-Schaltungen können reduziert werden, indem die Threshold Spannungen erhöht werden. Dazu werden die ulk-potentiale wie folgt geändert: p-substrat erhöht reduziert n-wanne erhöht reduziert.4 a) Siehe bb... S(U p DD) G(U) S n(gnd) p E D(U) p D(U) n G(U) n E p+ p+ n+ n+ p p-substrat -kanal n -kanal bb..: Querschnitt eines CMOS-Inverters Integrierte Digitalschaltungen F08 - Seite von 6

23 ufgabe bb..2: Layout eines CMOS-Inverters b) Siehe bb..2. c) Schaltbild siehe bb..2. L=2g, W p =0g, W N =5g. Mit unterschiedlichen Transistorweiten des n-kanal und p-kanal-transistors können Unterschiede in den Ladungsträgerbeweglichkeiten ausgeglichen werden. d) Siehe bb a) I D,n = I D,p, U = U E b) a) n-kanal Transistor U in = U out = U GS,n = U DS,n und U DS,sat,n = U GS,n U th,n = U DS,n U th,n. Somit ist U DS,n in jedem Fall um U th,n größer als U DS,sat,n und entsprechend der selbstsperrende n- Kanal-MOSFET im Umschaltpunkt immer im bschnürbereich. b)p-kanal Transistor U in U DD = U out U DD = U GS,p = U DS,p und U DS,sat,p = U GS,p U th,p = U DS,p U th,p. Somit ist U DS,p in jedem Fall um U th,p kleiner als U DS,sat,p und entsprechend der selbstsperrende p-kanal-mosfet im Umschaltpunkt immer im bschnürbereich. c) U schalt = U in = U out I D,n = k 2 (U GS U th,n ) 2 I D,p = k 2 (U GS U th,p ) 2 k n = 30 µ V 2 k p = 0 µ V 2 Wn L n = 30 µ 5g V 2 2g Wp L p = 0 µ V 2 0g 2g = 75 µ V 2 = 50 µ V 2 Integrierte Digitalschaltungen F08 - Seite 2 von 6

24 ufgabe d) Siehe bb..3. I D,n = I D,p k n 2 (U GS n U th,n ) 2 = k p 2 (U GS p U th,p ) 2 U in U th,n = }{{} positives VZ U in U th,n = k n kp U in U DD U th,p k n }{{} kp negatives VZ k n (U in U DD U th,p ) kp kp U in + = U th,n + (U DD + U th,p ) k n U schalt = U in = U th,n (U DD + U th,p ) ,637 V e) P V = P Q + P dyn, wobei P Q die Verlustleistung durch den Querstrom im Schaltfall darstellt, wenn keiner der Transistoren gesperrt ist. P dyn ist die dynamische Verlustleistung durch Umladen der Kapazitäten. Eine statische Verlustleistung gibt es im Idealfall nicht, da im Ruhezustand der Schaltung ein Transistor immer voll gesperrt ist! U E [mv] U [mv] bb..3: Übertragungskennlinie eines CMOS-Inverters Integrierte Digitalschaltungen F08 - Seite 3 von 6

25 ufgabe 2 ufgabe 2: CMOS-Logik (25 Punkte) 2. max. Datenrate: 2 Gbit/s max. Taktfrequenz: f max = 2 GHz T = f max = 2 GHz = t φ Q + t ND + 3 t XOR + t SU 2.2 a) Q = + Q = ( + )( + ) t XOR = 97 ps Q bb. 2.: Pull-Down-Netzwerk eines XOR-Gatters. b) (,) = (,) (0,) (Knoten K wird ebenfalls umgeladen) c) Der Knoten K muss vor dem Signalwechsel auf 0 (GND) liegen, da durch seine Umladung die nstiegszeit vergrößert wird. d) R p R p C K C Q bb. 2.2: aumdiagramm für den Ladevorgang. e) C Q = C L +C D3 +2 C GD3 +C D4 +2 C GD4 +C D2 +2 C GD2 = C L +6 C n +3 C p = 260 ff C K = C D + 2 C GD + C S2 + 2 C GS2 = 6 C p = 80 ff Integrierte Digitalschaltungen F08 - Seite 4 von 6

26 ufgabe 2 f) t ND = 2,2 (C Q 2 R p + C K R p ) R p = t ND 2,2 (C K + 2 C Q ) 54,5 Ω 2.3 a) DCVSL: Differential Cascode Voltage Switch Logic b) Q = + c) Exklusiv-Oder, XOR d) Q = + = ( + )( + ) = + e) siehe bb. 2.3 UDD Q Q N N2 GND bb. 2.3: XOR-Gatter in DCVSL-Technik f) siehe bb. 2.4 Integrierte Digitalschaltungen F08 - Seite 5 von 6

27 ufgabe 2 UDD Q Q N N2 GND bb. 2.4: Um zwei Transistoren reduziertes XOR-Gatter in DCVSL-Technik Integrierte Digitalschaltungen F08 - Seite 6 von 6

28 ufgabe 3 ufgabe 3: CMOS-Logik (25 Punkte) 3. Erklären Sie den Unterschied zwischen den egriffen zustandsgesteuert und flankengesteuert! Zustandsgesteuert bedeutet, dass zwischen dem usgang und dem Eingang einer Schaltung eine direkte logische Verbindung besteht. Änderungen am Eingang werden während dieser Zeit direkt vom usgang übernommen. Flankengesteuert bedeutet, dass der Wert am Eingang einer Schaltung nur zu einem bestimmten Zeitpunkt vom usgang übernommen wird. Dieser Zeitpunkt ist mit dem Wechsel der Taktflanken verbunden. Findet die Wertübernahme bei einem Wechsel der Taktflanke von low auf high statt, spricht man von positiver Flankensteuerung. ei einem Wechsel von high auf low spricht man von negativer Flankensteuerung. 3.2 siehe bb. 3.b. 3.3 positives Latch! φ UDD E T φ T p Q E φ Q T n GND 0 0 Q UDD 0 0 (E) (E) T p2 0 Q T n2 (E) 0 (E) GND a) b) bb. 3.: Schaltbild und Wahrheitstabelle Integrierte Digitalschaltungen F08 - Seite 7 von 6

29 ufgabe Es gilt: U gs,φ = U ds,φ T φ befindet sich im bschnürbereich. U etriebs zustand I D 0 V U UDD U th,φ abgeschnuert I D,φ = k φ 2 [(UDD U ) U th,φ ] 2 UDD U th,φ U UDD gesperrt I D = Welche etriebszustände durchlaufen die Transistoren T n2 und T p2 im ereich von 0 V U U Sp? U etriebs zustand I D T n2 0 V U U Sp ohmsch I D,n2 = k n2 [(UDD U th,n2 ) U 2 U2 ] T p2 0 V U U Sp gesperrt I D,p2 = Geben Sie die Stromgleichung des Knotens im ereich 0 V U < U Sp an! I D,φ = I D,n2 k φ (U 2 gs,φ U th,φ ) 2 = k n2 [(U gs,n2 U th,n2 ) U 2 U2 ] mit U gs,n2 = UDD und U gs,φ = UDD U 3.7 Wie groß muss das Verhältniss der Transistorweiten w φ w n2 Inverter Inv umschaltet? Es muss gelten: U = U Sp k φ ((UDD U 2 ) U th,φ ) 2 = k n2 [(UDD U th,n2 ) U 2 U2 ] mindestens sein, damit der k φ k n2 = 2 [(UDD U th,n2) U 2 U2 ] ((UDD U ) U th,φ ) 2 k φ k n2 = 2 [(,2 V 0,3 V) 0,6 V 2 (0,6 V)2 ] (,2 V 0,6 V 0,3 V) 2 k φ k n2 = Wie groß ist der Maximalwert des Stromes I D,n2 durch den Transistor T n2 während des Umschaltvorgangs? I D,n2 = k n2 [(UDD U th,n2 ) U Sp 2 U2 Sp ] = m Integrierte Digitalschaltungen F08 - Seite 8 von 6

30 ufgabe Verschiedene ntworten sind möglich: U ist die usgangsspannung des Spannungteilers aus T φ und T n2. Wird die Weite von T φ reduziert, steigt dessen Widerstand, sodass U sinkt. Wenn der Inverter Inv bei geringerer Spannung U umschalten soll, muss somit U Sp verringert werden. nhand der Gleichung aus ufgabe 2.8 ergibt sich, dass das sich das Verhältnis verkleinert, wenn U Sp verringert wird. w φ w n2 3.0 Wenn man die Schaltung um einen Transistor erweitert, kann der Querstrom durch T n2 während des Umschaltvorgangs vermieden werden. Zeichnen Sie die Schaltung mit dem zusätzlichen Transistor! φ UDD φ UDD E T φ T p T n Q E T φ,n T p T n Q GND GND φ UDD φ UDD T p2 T p2 T φ T n2 T φ,p T n2 GND GND a) b) bb. 3.2: Realisierung mit komplementären Taktsignalen a), oder mit P-MOS als Passtransistor b) Integrierte Digitalschaltungen F08 - Seite 9 von 6

31 ufgabe 4 ufgabe 4: VHDL und Hochintegration (25 Punkte) In dieser ufgabe werden grundlegende Kenntnisse der Hardwarebeschreibungssprache VHDL und ihre nwendung auf den Entwurf mikroelektronischer Schaltungen geprüft. 4. In diesem Unterpunkt sollen allgemeine Fragen zu VHDL beantwortet werden. itte kennzeichnen Sie die von Ihnen gemachten Multiple-Choice-ntworten eindeutig! Pro ufgabe können auch mehrere ntworten richtig sein! Falsche Kreuze führen zu Punktabzug! a) Geben Sie an, welche der folgenden ussagen zu VHDL wahr sind: Die eschreibung paralleler nweisungen ist das Grundprizip von VHDL. VHDL wird zur Modellierung digitaler Schaltungen auf Transistorebene eingesetzt. Nur die Datenflussbeschreibung in VHDL ist synthetisierbar. VHDL ist eine Hardwarebeschreibungssprache (HDL steht für Hardware Description Language). Die architecture einer VHDL eschreibung beinhaltet die Funktion der Schaltung. Prozesse sind estandteil einer VHDL Verhaltensbeschreibung. b) Geben Sie an, welche der folgenden ussagen zum Schlüsselwort generic wahr sind: Mit dem Schlüsselwort generic werden Simulationsparameter eingestellt. Mit dem Schlüsselwort generic können Parameter an Komponenten übergeben werden. Mit dem Schlüsselwort generic werden Port Ein- und usgänge generiert. Das Schlüsselwort generic kann in der entity zum Erzeugen von parametrisierten Komponenten verwendet werden. Das Schlüsselwort generic kann in der architecture zum Einbinden parametrisierter Komponenten in einer Strukturbeschreibung verwendet werden. Das Schlüsselwort generic wird ausschliesslich in Prozessen zum Deklarieren von Variablen verwendet. Integrierte Digitalschaltungen F08 - Seite 0 von 6

32 ufgabe 4 c) Gegeben ist die folgende Komponente schaltung: C bb. 4.: Komponente schaltung Geben Sie an, welche der folgenden ussagen zutreffend sind: In einer VHDL eschriebung kann eine Zeile der entity lauten :, : in std_logic; In einer VHDL eschriebung kann eine Zeile der entity lauten :, : in std_logic_vector(3 downto 0); In einer VHDL eschreibung kann die architecture folgende Zeile enthalten : C <= (not ) or (not ); In einer VHDL eschreibung kann die architecture folgende Zeile enthalten : C <= not ( or ); Die Komponente schaltung ist ein XOR Gatter. Die Komponente schaltung ist ein NND Gatter. 4.2 Für die folgenden ufgaben sollen die Gatter aus bb. 4.2 verwendet werden, die in beliebiger nzahl zur Verfügung stehen. not and or xnor & = bb. 4.2: Zur Verfügung stehende Gatter. Integrierte Digitalschaltungen F08 - Seite von 6

33 ufgabe 4 a) Im Folgenden soll die Komponente comparator aus bb. 4.3 in VHDL beschrieben werden. Diese besitzt 5 Ein- und 3 usgänge des Typs std_logic. Mit dieser Komponente werden die Eingangssignale und verglichen. Zusätzlich besitzt die Komponente comparator drei weitere Eingänge G_in, E_in und L_in für Ergebnisse aus höherwertigen itstellen, wodurch ein einfacher ufbau von N-it Komparatoren ermöglicht wird. Ergänzen Sie den Quelltext der entity comparator, indem Sie die Ports der Komponente definieren! G_in E_in L_in E_out comparator bb. 4.3: Komponente comparator entity comparator is port( -- hier die entity ergänzen,, G_in, E_in, L_in : in std_logic;, E_out, : out std_logic ); end comparator; Integrierte Digitalschaltungen F08 - Seite 2 von 6

34 ufgabe 4 b) Zunächst werden die Eingänge G_in, E_in und L_in der Komponente comparator nicht berücksichtigt. Gegeben ist eine Tabelle mit der Zurdnung zwischen Eingangsbedingung und dem einzigen aktiven, auf logisch gesetzten, usgangsport. Ergänzen Sie die folgende Wahrheitstabelle und vervollständigen Sie anschließend den für diese ufgabe markierten Schaltungsteil der Komponente comparator indem Sie entsprechende Gatter in die dafür vorgesehenen Felder in bb. 4.4 einzeichnen! > G'_out G'_out E'_out L'_out = E'_out < L'_out & G'_out & E'_out = & E_out & & L'_out zu b) E_in G_in L_in zu c) bb. 4.4: Logik der Komponente comparator Integrierte Digitalschaltungen F08 - Seite 3 von 6

35 ufgabe 4 c) Nun sollen alle 5 Eingänge der Komponente berücksichtigt werden. Vervollständigen Sie mit Hilfe der gegebenen Tabelle den für diese ufgabe markierten Schaltungsteil der Komponente comparator indem Sie entsprechende Gatter in die dafür vorgesehenen Felder in bb. 4.4 einzeichnen! & G_in E_in L_in > = E_out < d) Ergänzen Sie den folgenden Quelltext, indem Sie die Funktion der Komponente comparator als Datenflussbeschreibung einfügen! architecture datenfluss of comparator is begin -- hier die Datenflussbeschreibung einfügen! <= ( and (not ) and E_in) or G_in; E_out <= ( xnor ) and E_in; <= ((not ) and and E_in) or L_in; end datenfluss; Integrierte Digitalschaltungen F08 - Seite 4 von 6

36 ufgabe 4 e) Die usgänge der Komponente comparator sollen nun synchron zur positiven Taktflanke betrieben werden. Dazu wird erstens ein zusätzlicher Port mit der ezeichnung clk eingefügt. Zweitens werden an den usgangsports mit clk getaktete D-FlipFlops verwendet. Kreuzen Sie an mit welcher der folgenden VHDL eschreibungen dieses Verhalten modelliert werden kann! -- eschreibung process(clk) begin if clk = then -- eschreibung 2 process(clk) begin if rising_edge(clk) then -- hier steht die -- Datenflussbeschreibung end if; end process; -- hier steht die -- Datenflussbeschreibung end if; end process; -- eschreibung 3 process(clk) begin if clk event then -- hier steht die -- Datenflussbeschreibung end if; end process; f) Nun soll die Funktion der taktsynchronen Komponente comparator nach ufgabenteil e) überprüft werden. Ergänzen Sie hierzu die fehlenden Signalverläufe in bb. 4.5! g) Jetzt soll mit Hilfe der nicht getakteten Komponente comparator ein 4-it- Komparator aufgebaut werden. Verschalten Sie dazu die Komponenten in der bb enutzen Sie für die Eingangssignale die ezeichnungen (0) bis (3) und (0) bis (3). Vergessen Sie nicht, die Eingänge der ersten Stufe mit logischen Signalen zu belegen! Integrierte Digitalschaltungen F08 - Seite 5 von 6

37 ufgabe 4 clk G_in E_in L_in E_out bb. 4.5: Signalverläufe (3) (3) (2) (2) () () (0) (0) 0' G_in G_in G_in G_in ' E_in E_out E_in E_out E_in E_out E_in E_out 0' L_in L_in L_in L_in comparator comparator comparator comparator bb. 4.6: 4-it-Komparator Integrierte Digitalschaltungen F08 - Seite 6 von 6

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 8, 18.05.2017 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 28.03.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & Bipolartransistor (20 Punkte) ufgabe : Mikroelektronische Grundlagen & ipolartransistor (2 Punkte) Hinweis: Die ufgabenpunkte. bis.5 können unabhängig voneinander gelöst werden! ei Multiple-Choice ufgaben können mehrere ntworten richtig

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,117 - Atomdichte N cm 3 5,0 10 22 2,2 10 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm 0,7 - Atomdichte N cm 3 5,0 0 22 2,2 0 22 spez. Dichte (20 C) γ g/cm 3 2,3 5,35 Gitterkonstante (20 C) a 0 nm 0,543 0,565 Schmelzpunkt

Mehr

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10,

Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, Integrierte Digitalschaltungen Vom Transistor zu Integrierten Systemen Vorlesung 10, 16.06.2016 Nils Pohl FAKULTÄT FÜR ELEKTROTECHNIK UND INFORMATIONSTECHNIK Lehrstuhl für Integrierte Systeme Organisatorisches

Mehr

Klausur am im Fach Integrierte Digitalschaltungen

Klausur am im Fach Integrierte Digitalschaltungen Klausur am 3.0.06 im Fach Integrierte Digitalschaltungen. Füllen Sie bitte die folgenden Zeilen aus: Dauer: Stunden Matr.-Nr.: 0 8 Nachname: Vorname: Unterschrift:. Die Klausur besteht aus insgesamt 7

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 01.07.2014 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Aufgabe : Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) Hinweis: Die Aufgabenpunkte. bis.4 können unabhängig voneinander gelöst werden! Bei Multiple-Choice Aufgaben können mehrere Antworten

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

Klausur am im Fach Integrierte Digitalschaltungen

Klausur am im Fach Integrierte Digitalschaltungen Klausur am.3.23 im Fach Integrierte Digitalschaltungen Dauer: 2 Stunden. Fu llen Sie bitte die folgenden Zeilen aus: Matr.-Nr.: 8 Nachname: Vorname: Unterschrift: 2. Die Klausur besteht aus insgesamt 6

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm,7 - Atomdichte N cm 3 5, 22 2,2 22 spez. Dichte (2 C) γ g/cm 3 2,3 5,35 Gitterkonstante (2 C) a nm,543,565 Schmelzpunkt C 42 24 spez.

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 lektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 7 b) n die Schaltung werden nacheinander die in der Tabelle eingetragenen ingangssignale angelegt. Tragen Sie die sich einstellenden Pegel

Mehr

3 Elektronische Verknüpfungsglieder

3 Elektronische Verknüpfungsglieder 3 Elektronische Verknüpfungsglieder ufgabe 27: RTL NICHT Glied.27.: Skizzieren Sie die Schaltung eines NICHT Schaltgliedes, das mit einem NPN Transistor und Widerständen aufgebaut ist (Resistor Transistor

Mehr

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung

Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Übung Integrierte Schaltungen 6. Übung: Pseudo-NMOS, CMOS, Verzögerungszeit, Schaltschwelle,Verlustleistung Organisatorisches Termine: 01.11.2013 15.11.2013 29.11.2013 13.12.2013 10.01.2014 24.01.2014

Mehr

, SS2012 Übungsgruppen: Do., Mi.,

, SS2012 Übungsgruppen: Do., Mi., VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, SS202 Übungsgruppen: Do., 26.04. Mi., 02.05.202 ufgabe : Zahlenumwandlung mittels Tabellenspeicher Konstruieren Sie eine Schaltung,

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 26.07.2012 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 2 3 4 5 6 Punkte 15 12 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle

Mehr

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte)

Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) 1 Aufgabe 1: Mikroelektronische Grundlagen & CMOS-Inverter (25 Punkte) CMOS Grundlagen 1.1 a) Zeichnen Sie die Schaltsymbole für den n-kanal- und den p-kanal-mosfet (metal oxide semiconductor field-effect

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6

Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Elektrotechnische Grundlagen, WS 00/01 Musterlösung Übungsblatt 6 Prof. aitinger / Lammert esprechung: 29.01.2001 S I ufgabe 1 MOS-Widerstände bb_dummy: 1.0 a) Zeichnen Sie einen Querschnitt durch einen

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Verlustleistungsreduzierung in Datenpfaden

Verlustleistungsreduzierung in Datenpfaden Verlustleistungsreduzierung in Datenpfaden F. Grassert, F. Sill, D. Timmermann Inhalt Motivation Analyse der Ausgangssituation Verlustleistung in der Schaltungstechnik Selbstgetaktete dynamische Logiken

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik

ELEKTRONIKPRAKTIKUM DIGITALTEIL. Institut für Kernphysik ELEKTRONIKPRAKTIKUM DIGITALTEIL Institut für Kernphysik Version 2018 1 2 Projekt 1 Aufbau von Logikschaltungen mit diskreten Bauelementen Aufgabenstellung 1. Bestimmung einer Übertragungskennlinie und

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Unterschrift: Hörsaal: Platz-Nr.:

Unterschrift: Hörsaal: Platz-Nr.: FH München FK 3 Maschinenbau Diplomprüfung Elektronik SS 8 Mittwoch 6.7.8 Prof. Dr. Höcht Zugelassene Hilfsmittel: Alle eigenen Dauer der Prüfung: 9 Minuten Name: Vorname: Sem.: nterschrift: Hörsaal: Platz-Nr.:

Mehr

Transistorschaltungen

Transistorschaltungen Transistorschaltungen V DD in Volt 3 2 V Ein - UTh,P V Ein - UTh,N 1-1 0 1 2 3 U Th,P U Th,N V Ein in Volt a) Schaltung b) Übertragungsfunktion Bipolar Transistorschaltung im System I Ein C Ein? V CC I

Mehr

Klausur am im Fach VLSI-Entwurf

Klausur am im Fach VLSI-Entwurf Klausur am 5.9. im Fach VLSI-Entwurf Dauer: Stunden. Fu llen Sie bitte die folgenden Zeilen aus: Matr.-Nr.: 8 Nachname: Vorname: Unterschrift:. Die Klausur besteht aus insgesamt 6 Seiten. U berpru fen

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 10. Vorlesung Dr.-Ing. Wolfgang Heenes 22. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Vorbesprechung drittes Labor

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2007/2008 Klausur (03.04.2008) : Technische Grundlagen der Informatik Digitale Systeme WS 2007/2008 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Klausur am im Fach Integrierte Digitalschaltungen

Klausur am im Fach Integrierte Digitalschaltungen Klausur am 07.08.2014 im Fach Integrierte Digitalschaltungen 1. Füllen Sie bitte die folgenden Zeilen aus: Dauer: 2 Stunden Matr.-Nr.: 1 0 8 Nachname: Vorname: Unterschrift: 2. Die Klausur besteht aus

Mehr

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs

Halbleiterdaten. Eigenschaften von Si und GaAs bei T = 300K, sofern nicht anders angegeben. Eigenschaft Symbol Einheit Silizium GaAs Halbleiterdaten Eigenschaft Symbol Einheit Silizium GaAs Atomradius nm,7 - Atomdichte N cm 3 5, 22 2,2 22 spez. Dichte (2 C) γ g/cm 3 2,3 5,35 Gitterkonstante (2 C) a nm,543,565 Schmelzpunkt C 42 24 spez.

Mehr

DuE-Tutorien 17 und 18

DuE-Tutorien 17 und 18 DuE-Tutorien 17 und 18 Tutorien zur Vorlesung Digitaltechnik und Entwurfsverfahren Christian A. Mandery TUTORIENWOCHE 5 AM 02.12.2011 KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

Teil IV Latches und Register. dynamisch, statisch

Teil IV Latches und Register. dynamisch, statisch Teil IV Latches und Register dynamisch, statisch 1 Zusammenfassung letzte VL: Definition der verschiedenen Grenzfrequenzen f un, f T, f max Definition der Anstiegs-, Abfall- und Verzögerungszeiten Vorteile

Mehr

Schaltungstechnik

Schaltungstechnik KLAUSUR Schaltungstechnik 6.07.01 Prof. Dr.-Ing. habil. F. Ellinger Dauer: 180 min. Aufgabe 1 3 4 5 6 Punkte 15 1 17 13 10 11 78 Modellgleichungen Für die Klausur werden folgende Transistormodelle verwendet

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena

Proseminar Statische CMOS- Schaltungen. Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Statische CMOS- Schaltungen Thema: CMOS-NOR-Gatter Gehalten von: Björn Fröhlich Prof. Dr. Zehendner SS05 - FSU Jena Inhaltsübersicht 1. allgemeiner Aufbau 2. Gleichstrom Transfer Charakteristik 3. Transiente

Mehr

Diplomprüfung SS 2012 Elektronik/Mikroprozessortechnik

Diplomprüfung SS 2012 Elektronik/Mikroprozessortechnik Diplomprüfung Elektronik Seite 1 von 8 Hochschule München FK 03 Maschinenbau Dauer: 90 Minuten Zugelassene Hilfsmittel: alle eigenen Diplomprüfung SS 2012 Elektronik/Mikroprozessortechnik Matr.-Nr.: Hörsaal:

Mehr

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14

Outline Simulation Design-Richtlinien. VHDL Einführung 2. Marc Reichenbach. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 VHDL Einführung 2 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/14 1 / 37 Gliederung Simulation und Testbench Design-Richtlinien 2 / 37 Simulation und Testbench vor

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Grundlagen der VLSI-Technik

Grundlagen der VLSI-Technik Grundlagen der VLSI-Technik VLSI-Systeme I Prof. Dr. Dirk Timmermann Institut für Angewandte Mikroelektronik und Datentechnik Fakultät für Informatik und Elektrotechnik Universität Rostock Vorteile der

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Diplomprüfung SS 2011 Elektronik/Mikroprozessortechnik, 90 Minuten

Diplomprüfung SS 2011 Elektronik/Mikroprozessortechnik, 90 Minuten Diplomprüfung Elektronik Seite 1 von 9 Hochschule München FK 03 Maschinenbau Zugelassene Hilfsmittel: alle eigenen Diplomprüfung SS 2011 Elektronik/Mikroprozessortechnik, 90 Minuten Matr.-Nr.: Name, Vorname:

Mehr

Teil VII Einfache Logikgatter. Statische Logik

Teil VII Einfache Logikgatter. Statische Logik Teil VII Einfache Logikgatter Statische Logik 1 Einleitung Statische Logik CMOS-Logik Gleichstromverhalten Laufzeit Kaskadierung Falsches Schalten Verlustleistung Pseudo-NMOS-Logik DCVS-Logik Pass-Transistor-Logik

Mehr

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz

Aufgabe E1: Aufgabe E2: Aufgabe E3: Fachhochschule Aachen Lehrgebiet Flugzeug- Elektrik und Elektronik Prof. Dr. G. Schmitz Aufgabe E1: Gegeben sei eine Leuchtdiode (LED), die an einer Gleichspannung von 3V betrieben werden soll. Dabei soll sich ein Strom von 10mA einstellen. a) erechnen Sie den erforderlichen Vorwiderstand,

Mehr

ELEKTRONIK 2 SCHALTUNGSTECHNIK P4-1/5 Prof. Dr.-Ing. Johann Siegl. P4 Praktikum zum Feldeffekttransistor. P4 Praktikum zum Feldeffekttransistor

ELEKTRONIK 2 SCHALTUNGSTECHNIK P4-1/5 Prof. Dr.-Ing. Johann Siegl. P4 Praktikum zum Feldeffekttransistor. P4 Praktikum zum Feldeffekttransistor 1 von 5 15.03.2008 11:47 ELEKTRONIK 2 SCHALTUNGSTECHNIK P4-1/5 a) Der Feldeffekttransistor findet vielfältige Anwendung in Elektroniksystemen. Die wichtigsten Anwendungen sind der Feldeffekttransistor

Mehr

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale

Hochschule Emden / Leer. Ausarbeitung. Speicherung digitaler Signale Hochschule Emden / Leer Ausarbeitung Thema: Speicherung digitaler Signale eingereicht von: Jens Fresenborg Inhaltsverzeichnis 1 Speicherung Digitaler Signale 1 2 Asynchrone Speicherelemente 1 2.1 RS-Flip-Flop

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Aufgabe 1, (25 Punkte):

Aufgabe 1, (25 Punkte): ufgabe 1, (25 Punkte): Flip-Flops (FF): a) Konstruieren Sie aus zwei NOR-Gattern ein RS-Flip-Flop, bezeichnen Sie die Eingänge R und S und die usgänge mit Q und Q. b) Ergänzen Sie für Ihre Schaltung in

Mehr

Grundschaltungen der Digitaltechnik

Grundschaltungen der Digitaltechnik & >= Grundschaltungen der Digitaltechnik naloge und digitale Signale Ein analoges Signal kann beliebige Spannungswerte annehmen, währenddem ein digitales Signal nur zwei verschiedene Werte annehmen kann.

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Klausur "Elektronik und Messtechnik" am Teil: Elektronik

Klausur Elektronik und Messtechnik am Teil: Elektronik Name, Vorname: Hinweise zur Klausur: Klausur "Elektronik und Messtechnik" 9115 am 11.03.2002 1. Teil: Elektronik Die für diesen Teil zur Verfügung stehende Zeit beträgt 2 h. Zugelassene Hilfsmittel sind:

Mehr

Gleichstromverhalten von. CMOS-Invertern. Proseminar Statische CMOS-Schaltungen im Sommersemester 2005/FSU Jena Professor Dr. Eberhard Zehendner

Gleichstromverhalten von. CMOS-Invertern. Proseminar Statische CMOS-Schaltungen im Sommersemester 2005/FSU Jena Professor Dr. Eberhard Zehendner Gleichstromverhalten von CMOS-Invertern Andreas Heyer für Proseminar Statische CMOS-Schaltungen im Sommersemester 2005/FSU Jena Professor Dr. Eberhard Zehendner Gliederung 1. Inverter 2. Wirkungsweise

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Klausur "Elektronik und Messtechnik" am Teil: Elektronik

Klausur Elektronik und Messtechnik am Teil: Elektronik Name, Vorname: Matr.Nr.: Klausur "Elektronik und Messtechnik" 9115 am 01.10.2004 1. Teil: Elektronik Hinweise zur Klausur: Die zur Verfügung stehende Zeit beträgt 2 h. Zugelassene Hilfsmittel sind: Taschenrechner

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

Tutorium: Einführung in die technische Informatik

Tutorium: Einführung in die technische Informatik Tutorium: Einführung in die technische Informatik Logische Schaltungen (2. 2.3) Sylvia Swoboda e225646@student.tuwien.ac.at Überblick Grundbegriffen von logischen Schaltung Realisierung von Funktionen

Mehr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Mittwoch, den Uhr

Schaltungstechnik 1. Univ.-Prof. Dr. techn. Josef A. Nossek. Mittwoch, den Uhr Grundlagenorientierungsprüfung für Elektroingenieure Schaltungstechnik 1 Univ.-Prof. Dr. techn. Josef A. Nossek Mittwoch, den 27.02.2002 9.00 10.30 Uhr Name: Vorname: Matrikel-Nr.: Hörsaal: Platz-Nr.:

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus igitales esign 2. Juni 25 ie Arbeitszeit beträgt,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben Sie

Mehr

5. Tutorium Digitaltechnik und Entwurfsverfahren

5. Tutorium Digitaltechnik und Entwurfsverfahren 5. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 9 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Sourceschaltung mit selbstleitendem MOSFET

Sourceschaltung mit selbstleitendem MOSFET BEISPIEL 5.5: Sourceschaltung mit selbstleitendem MOSFET R D C R G Versorgungsspannung: U 0 = 12 V Schwellenspannung: U th = 3 V Steuerfaktor: β = 2 ma/v 2 Widerstandswert: R G = 1 MW (a) Dimensionieren

Mehr

Institut für Informatik. Aufgaben zum Seminar Technische Informatik

Institut für Informatik. Aufgaben zum Seminar Technische Informatik UNIVERSITÄT LEIPZIG Institut für Informatik bt. Technische Informatik Dr. Hans-Joachim Lieske ufgaben zum Seminar Technische Informatik ufgabe 2.4.1. - erechnung einer Transistorschaltung mit Emitterwiderstand

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg

Basics. Marc Reichenbach und Michael Schmidt 05/11. Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg Basics Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen

Mehr

Praktikum Elektronik

Praktikum Elektronik Fakultät Elektrotechnik Hochschule für Technik und Wirtschaft Dresden University of Applied Sciences Friedrich-List-Platz 1, 01069 Dresden ~ PF 120701 ~ 01008 Dresden ~ Tel.(0351) 462 2437 ~ Fax (0351)

Mehr

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes

Grundlagen der Rechnertechnologie Sommersemester Vorlesung Dr.-Ing. Wolfgang Heenes Grundlagen der Rechnertechnologie Sommersemester 2010 9. Vorlesung Dr.-Ing. Wolfgang Heenes 15. Juni 2010 TechnischeUniversitätDarmstadt Dr.-Ing. WolfgangHeenes 1 Inhalt 1. Der Feldeffekt 2. Feldeffekttransistoren

Mehr

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden.

Ein ROM soll aus mehreren ROMs (vgl. Abbildung rechts: Enable-Leitung EN, Adressleitungen ADDR, Datenleitungen DATA) aufgebaut werden. VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 183.579, 2015W Übungsgruppen: Mo., 23.11. Mi., 25.11.2015 Aufgabe 1: ROM-Erweiterung Ein 256 64 ROM soll aus mehreren 128 16 ROMs (vgl. Abbildung

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3

Grundlagen der Technischen Informatik. CMOS-Gatterschaltungen. Kapitel 7.3 CMOS-Gatterschaltungen Kapitel 7.3 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design CMOS: Inverter-Schaltung VDD PMOS V in V out V in V out CL NMOS Der Inverter besteht aus zwei Transistoren,

Mehr

Logikfamilien der Digitaltechnik

Logikfamilien der Digitaltechnik Logikfamilien der Digitaltechnik W.Kippels 22. März 2014 Inhaltsverzeichnis 1 Einleitung 2 2 Grundlagen der TTL-Technik 2 2.1 NND-Gatter in TTL-Technik....................... 2 2.2 NOR-Gatter in TTL-Technik........................

Mehr

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015

Vorlesungsprüfung aus. Digitales Design. 2. Juni 2015 Vorlesungsprüfung aus Digitales Design 2. Juni 2015 Die Arbeitszeit beträgt 1,5 Stunden. Als Hilfsmittel sind ausnahmslos Schreibzeug, Lineal und (nicht programmierbarer) Taschenrechner erlaubt. Schreiben

Mehr

Zeitabhängige binäre Schaltungen. Prof. Metzler

Zeitabhängige binäre Schaltungen. Prof. Metzler Zeitabhängige binäre Schaltungen Prof. Metzler 1 Bistabile Kippstufe Flipflop Eine bistabile Kippschaltung hat zwei Eingänge und zumeist zwei Ausgänge. Mit einem Signal am Eingang E1 wird das Flipflop

Mehr

Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8. Wintersemester 2015/16 Elektronik

Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8. Wintersemester 2015/16 Elektronik Bachelorprüfung FAB + MBB (Schwerpunkt Mechatronik) / Diplomprüfung MBD Seite 1 von 8 Hochschule München Fakultät 03 Zugelassene Hilfsmittel: alle eigenen, Taschenrechner Matr.-Nr.: Hörsaal: Wintersemester

Mehr

Klausur "Elektronik und Messtechnik" 9115/6203. am Teil: Elektronik

Klausur Elektronik und Messtechnik 9115/6203. am Teil: Elektronik Name, Vorname: Klausur "Elektronik und Messtechnik" 9115/6203 am 12.03.2007 1. Teil: Elektronik Hinweise zur Klausur: Die für diesen Teil zur Verfügung stehende Zeit beträgt 2 h. Matr.Nr.: Aufg. P max

Mehr

Aufgaben zur Analogen Schaltungstechnik!

Aufgaben zur Analogen Schaltungstechnik! Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt Aufgaben Analoge Schaltungstechnik Prof. Dr. D. Ehrhardt 26.4.2017 Seite 1 Aufgaben zur Analogen Schaltungstechnik! Prof. Dr. D. Ehrhardt

Mehr

4. Anhang Unterschrift

4. Anhang Unterschrift Höhere Technische undes-, Lehr- und Versuchsanstalt (ULME) Graz Gösting Elektrotechnisches Laboratorium Jahrgang: 2004/05 Übungstag:... Name: Schriebl, Forjan, Schuster Gruppe:...... ufgabe: Kombinatorische

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Digitalelektronik: Einführung

Digitalelektronik: Einführung Überblick Grundlagen: Spannung, Strom, Widerstand, IV-Kennlinien Elektronische Messgeräte im Elektronikpraktikum Passive Filter Signaltransport im Kabel Transistor Operationsverstärker PI-Regler Sensorik

Mehr

Programmierbare Logik Arithmetic Logic Unit

Programmierbare Logik Arithmetic Logic Unit Eine arithmetisch-logische Einheit (englisch: arithmetic logic unit, daher oft abgekürzt ALU) ist ein elektronisches Rechenwerk, welches in Prozessoren zum Einsatz kommt. Die ALU berechnet arithmetische

Mehr

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1

4 Schaltalgebra. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 4-1 4 Schaltalgebra 4. Axiome; Signale und Schaltfunktionen Der Entwurf einer Digitalschaltung mit vorgegebener Funktion erfordert die Manipulation der verschiedenen Eingangssignale auf eine Weise, die in

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Diplomprüfung WS 2010/11 Fach: Elektronik, Dauer: 90 Minuten

Diplomprüfung WS 2010/11 Fach: Elektronik, Dauer: 90 Minuten Diplomprüfung Elektronik Seite 1 von 8 Hochschule München FK 03 Maschinenbau Zugelassene Hilfsmittel: alle eigenen Diplomprüfung WS 2010/11 Fach: Elektronik, Dauer: 90 Minuten Matr.-Nr.: Name, Vorname:

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren

Praktikum 2: Diode, Logische Schaltungen mit Dioden und Feldeffekttransistoren PraktikantIn 1 Matrikelnr: PraktikantIn 2 Matrikelnr: Datum: Aufgabe 2 durchgeführt: Aufgabe 3 durchgeführt: Aufgabe 4a durchgeführt: Aufgabe 4b durchgeführt: Aufgabe 4c durchgeführt: Aufgabe 4d durchgeführt:

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg

VHDL - Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg VHDL - Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2007/2008 VHDL - Synthese 1/19 2007-10-27 Inhalt Begriff Arten

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr