3 Arithmetische Schaltungen

Größe: px
Ab Seite anzeigen:

Download "3 Arithmetische Schaltungen"

Transkript

1 . Schaltungselemente 7 Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des Eingangnvertiert aus UND-Verknüpfung; gibt am Ausgang aus, wenn beide Eingänge auf liegen, anderenfalls ; die Anzahl der Eingänge kann auch > sein NICHT-UND-Verknüpfung; gibt am Ausgang aus, wenn beide Eingänge auf liegen, anderenfalls ; die Anzahl der Eingänge kann auch > sein ODER-Verknüpfung; gibt am Ausgang aus, wenn mindestens ein Eingang auf liegt, anderenfalls ; die Anzahl der Eingänge kann auch > sein NICHT-ODER-Verknüpfung; gibt am Ausgang aus, wenn kein Eingang auf liegt, anderenfalls ; die Anzahl der Eingänge kann auch > sein Exklusiv-ODER-Verknüpfung/Antivalenz; gibt am Ausgang aus, wenn beide Eingänge unterschiedliche Pegel aufweisen, anderenfalls Exklusiv-NICHT-ODER-Verknüpfung/Äquivalenz; gibt am Ausgang aus, wenn beide Eingänge identische Pegel aufweisen, anderenfalls Im folgenden nehmen wir für alle Gatter die (identische) Durchlaufzeit an. Multiplexer Multiplexer wählen einen von mehreren Eingängen aus und leiten den dort anliegenden Logikpegel an den Ausgang weiter. Nachfolgende Abbildung zeigt einen Bit -auf-- Multiplexer, einen Bit 4-auf--Multiplexer, einen n Bit 4-auf--Multiplexer sowie die zugehörigen Wertetabellen. Der Steuereingang s legt fest, welcher der Eingänge a, b,... an den Ausgang durchgereicht wird.

2 8 Arithmetische Schaltungen a b s a b c d s a b c d s n n n n n s a b s a b c d a) Tragen Sie in nachfolgende Abbildung ein, wie sich ein 4 Bit 4-auf- Multiplexer aus vier Bit 4-auf- Multiplexer aufbauen lässt. : :-.

3 . Schaltungselemente 9 b) Tragen Sie in nachfolgende Abbildung die Realisierung eines Bit 4-auf- Multiplexers aus Logikgattern ein. :-D :D- :# : # F

4 N Arithmetische Schaltungen Demultiplexer Demultiplexer haben einen Eingang und leiten dessen Logikpegel an einen von mehreren Ausgängen weiter. Nachfolgende Abbildung zeigt einen Bit -auf-4-demultiplexer sowie die zugehörige Wertetabelle. x s a b c d s a b c d x x x x a) Tragen Sie in nachfolgende Abbildung die Realisierung eines Bit -auf-4 Demultiplexers aus Logikgattern ein. Bit - = " *:# : :# :# #

5 . Schaltungselemente Decoder Decoder sind Demultiplexer, deren Eingang x permanent auf liegt und deswegen weggelassen wird. Decoder werden zur Auswahl von Alternativen verwendet. s a b c d s a b c d

6 Arithmetische Schaltungen Speicherelemente: Flipflops und Register Wenn man zwei Inverter rückgekoppelt zusammenschließt, so dass der Ausgang des einen Inverters mit dem Eingang des anderen Inverters verbunden ist, lässt sich ein einzelnes Bit speichern. t.eiii.no Der Wert des gespeicherten Bitst jedoch nicht veränderbar. Um einen bestimmten Wert abzuspeichern, muss die Rückkopplung an einer Stelle aufgelöst werden und der abzuspeichernde Wert angelegt werden. a Zeitpunkt tn Verwendet man Logik-Bauteile mit mehr als zwei Eingängen (NICHT-ODER (NOR), NICHT-UND (NAND), kann auf die Unterbrechung der Rückkopplung verzichtet werden. ^ a x a a b n b rk - ] Inverter b Nachstehende Tabelle zeigt eine Änderung der Eingangswerte der rückgekoppelten NOR-Schaltung über der Zeit. Zum Zeitpunkt t wird Eingang a auf gelegt, zum Zeitpunkt t :#.it#o: wieder auf. ist die Gatterlaufzeit. a) Tragen Sie in die Tabelle die zu den jeweiligen Zeiten gehörenden Ausgangswerte x und ein. t t t + t + t +... t t +... a b x??......??? ini :

7 . Schaltungselemente Zum Zeitpunkt t wird Eingang b auf gelegt, zum Zeitpunkt t 4 wieder auf. b) Tragen Sie in die Tabelle die zu den jeweiligen Zeiten gehörenden Ausgangswerte x und ein. t t t + t + t +... t 4 t a b :*:* x :*: Zum Zeitpunkt t 5 werden beide Eingange a und b auf gesetzt. c) Tragen Sie in die Tabelle die zu den jeweiligen Zeiten gehörenden Ausgangswerte x und ein. t t 5 t 5 + t a... b... x Mit den beiden Eingänge a und b lässt sich der in der Schaltung abgespeicherte Wert einstellen. Man nennt diese Schaltung auch aschrones Set-/Reset-Flipflop oder asnchrones RS-Flipflop. S S R R

8 4 Arithmetische Schaltungen Der Begriff asnchron meint, dass an den Set-/Reset-Leitungen angelegte Signale sofort berücksichtigt werden. Dast oft nicht gewollt. Mit einem taktzustandsgesteuerten RS-Flipflop können die Set-/Reset-Leitungen blockiert oder freigeschaltet werden. Im blockierten Zustand (C =) haben Änderungen an S bzw. R keine Auswirkung. Im freigeschalteten Zustand (C =) werden Änderungen übernommen. S C R d) Tragen Sie in nachstehende Abbildung geeignete Gatter und Verbindungsleitungen ein, damit sich das Verhalten eines taktzustandsgesteuerten RS-Flipflops ergibt. S C R II Bei obiger Aufgabe wurden zum Zeitpunkt t 5 beide Eingangswerte auf gesetzt. Beide Ausgänge waren Null. Damit ist der in der Schaltung abgespeicherte Wert nicht definiert. S und R dürfen somit nicht gleichzeitig auf gesetzt werden. Ein D-Flipflop hat statt zweier Eingangsleitungen S und R nur eine einzige Eingangsleitung, über die das Verhalten gesteuert wird. Damit können keine undefinierten Zustände auftreten. D C

9 . Schaltungselemente 5 e) Tragen Sie in nachstehende Abbildung geeignete Schaltungselemente und Verbindungen ein, damit sich das Verhalten eines D-Flipflops ergibt. D C an S C R Beim D-Flipflop wird über den Eingang D festgelegt, welcher Zustand abgespeichert werden soll ( oder ). Änderungen werden übernommen, wenn C =. Das nennt man zustandsgesteuert. Oft sollen Werte aber nur zu einem bestimmten Zeitpunkt übernommen werden. Mit einem taktflankengesteuerten D-Flipflop werden Werte nur übernommen, wenn C von auf wechselt. Die nächste Abbildung zeigt ein solches taktflankengesteuertes D- Flipflop. Dass die Übernahme : von Daten nur bei steigender Flanke erfolgt, wird mit einem kleinen Dreieck angezeigt. D f) Tragen Sie in nachstehende Abbildung geeignete Bauteile und Verbindungen ein, damit sich das Verhalten eines taktflankengesteuerten D-Flipflops ergibt. D el D C o D C

10 6 Arithmetische Schaltungen Soll nicht nur ein einziges Bit abgespeichert werden, sondern mehrere Bits, spricht man von einem Register. Nachfolgende Abbildung zeigt ein Register, in dem n Bit abgespeichert werden können. n D n g) Tragen Sie in nachstehende Abbildung ein, wie sich ein Register zur Abspeicherung von n Datenbits aus D-Flipflops aufbauen lässt. a D D n : n D

11 . Halbaddierer (HA) 7. Halbaddierer (HA) Ein Halbaddierer ist eine Schaltung, die zwei Eingangs-Bits und i zu einem Summen- Bit und einem Überlauf-Bit (c wie carr = Überlauf) addiert. Eingang Eingang i Summe Überlauf (carr) Die Summe entspricht einer XOR-Verknüpfung von und i, der Überlauf der UND- Verknüpfung von und i. Damit lässt sich ein Halbaddierer wie folgt implementieren: i i HA Bei der Addition zweier Zahlen müssen nicht nur die einzelnen Stellen und i der beiden Summanden addiert werden, sondern auch noch ein evtl. auftretender Übertrag aus der vorangehenden Stelle i. Beispiel x =, = : + Es müssen also drei Summanden-Bits (, i, ) zu einem Summen-Bit und einem Übertragsbit addiert werden. Damit ist ein einzelner Halbaddierer zur Addition zweier Binärzahlen x = x n n + + i + + x und = n n + + i i + + nicht ausreichend. Ein Halbaddierer kann die Addition einer Binärstelle nur halb bewerkstelligen.

12 8 Arithmetische Schaltungen. Volladdierer () Ein Volladdierer addiert drei Summanden (, i und ) zu einer Summe und einem Übertrag und kann damit die Addition einer Binärstelle vollumfänglich bewerkstelligen. O wie HA a) Vervollständigen Sie nachfolgende Wertetabelle eines Volladdierers. i ^ 9-initiierten b) Tragen Sie in nachfolgende Abbildung (links) eine Implementierung einer Volladdierer-Schaltung ein. i - f- I. 74 i -

13 .4 Ripple-Carr-Addierer/Subtrahierer 9 c) Wieviele Gatterlaufzeiten benötigt der Volladdierer, bis an seinen Ausgängen jeweils das korrekte Signal anliegt? C in till si = T C ins his ci = T Xili tnasi = T Xilgi tnaci = T.4 Ripple-Carr-Addierer/Subtrahierer Beim Ripple-Carr-Addierer werden mehrere Volladdierer so verschaltet, dass sich damit die Summe zweier Summanden berechnen lässt. a) Skizzieren Sie, wie n Volladdierer zusammengeschaltet werden müssen, damit aus den zwei n Bit langen Summanden x und die n Bit lange Summe s berechnet wird. x n- x x x n- i - i - i - i - s n- s s s Die Ripple-Carr-Schaltung wendet unabhängig von der verwendeten Zahlencodierung stets die selben Operationen auf die angelegten Bits an. Da bei vorzeichenloser Codierung binärer Festkommazahlen und bei der Zahlencodierung im Zweier-

14 ). ) 4 Arithmetische Schaltungen Komplement identische Bewegungen auf dem Zahlenring identische Wertänderungen zur Folge haben, liefert die Ripple-Carr-Schaltung nicht nur bei vorzeichenlose Festkommazahlen korrekte Ergebnisse, sondern auch bei vorzeichenbehafteten Zahlen im Zweier-Komplement. (Das gilt jedoch nur, wenn keine Überläufe auftreten.) Die Ripple-Carr-Schaltung kann also nicht nur addieren, sondern auch subtrahieren. Dazu muss der zu subtrahierenden Wert zunächst negiert (Vorzeichen ändern) und anschließend addiert werden: x = x +( ). b) Tragen Sie in nachstehende Schaltung Verbindungslinien und Schaltungselemente ein, so dass die Ripple-Carr-Schaltung nicht nur addieren sondern auch subtrahieren kann. x n- x x x n- I I I dörr Ig i i - i - i in in in.it#... s n- s s s c) Zeichnen Sie in obige Ripple-Carr-Schaltung den kritischen Pfad ein. Wieviele Gatterlaufzeiten benötigt die Ripple-Carr-Schaltung zur Subtraktion zweier n Bit breiter Festkommazahlen? Wieviel im Fall n = 64? Yi ( n - Inverter + Max : T. ci : T ( Cianci ) : µ. ) ' T, Y C in Si : T 7 tx ( n. n = 64 4T T + 7T = T

15 .5 Carr-Look-Ahead 4.5 Carr-Look-Ahead Bei der Carr-Look-Ahead-Technik werden die Überträge der einzelnen Volladdierer durch zusätzliche Logik im Voraus (engl. to look ahead = vorausschauen) berechnet. Dadurch verringert sich die Ausführungszeit, da das durchrippeln des Übertrags von der niederwertigsten bis zur höchstwertigsten Stelle vermieden wird. Die Grundidee ist folgende: Ein Volladdierer i liefert an seinem Ausgang genau dann einen Übertrag, wenn m der Volladdierer i den Übertrag aus den Eingangswerten und i selbst generiert (engl. generate, g i =), oder der Volladdierer i den Übertrag nicht selbst aus und i generiert, aber aufgrund der Werte von und i einen am Eingang anliegenden Überlauf an den Ausgang weiterleitet (engl. propagate, p i =) und gleichzeitig Volladdierer i einen Überlauf generiert (g i =), oder i und i einen Übertrag weiterleiten (p i =, p i = ) und i einen Überlauf generiert (g i =), oder i, i und i einen Übertrag weiterleiten (p i =, p i =, p i =) und i einen Überlauf generiert (g i =), oder... Der Überlauf eines Volladierers lässt sich damit wie folgt bestimmen: = g i _ (p i ^ g i ) _ (p i ^ p i ^ g i ) _ (p i ^ p i ^ p i ^ g i ) _... Nachfolgende Abbildung zeigt ein Modell des generate/propagate-schemas. = entspricht einer leuchtenden Lampe, im Fall =leuchtet die Lampe nicht. g i g i g i g i p i p i p i p i Es gilt: g i =: i generiert aus den Eingangssignalen und i einen Überlauf. g i =: Die Werte der an i anliegenden Eingangssignale und i generieren nicht aus sich heraus einen Überlauf. Ein Überlauf wird aber trotzdem noch generiert, wenn bei i durch und i ein Überlauf weitergeleitet wird (d.h. bei i gilt p i =) und bei i gleichzeitig gilt =.

16 . 4 Arithmetische Schaltungen p i =: i leitet den am Eingang weiter. anliegenden Wert an den Ausgang p i =: i der am Eingang auf den Ausgang von i. von i anliegende Wert hat keinen Einfluss Betrachten Sie nachfolgende Implementierung eines Volladdierers. i - a) Wann generiert der Volladdierer einen Überlauf, d.h. bei welchen Werten von und i gilt =? 9in xini b) Wann leitet der Volladdierer einen Überlauf weiter, d.h. bei welchen Werten von und i gilt für einen Volladdierer =? pi = xi 7 i

17 .5 Carr-Look-Ahead 4 Einstufige Carr-Look-Ahead-Schaltung Nachfolgende Abbildung zeigt den Aufbau eines 4 Bit Carr-Look-Ahead-Addierers. x x x x c sub/ add g p g p g p g p x c x c x c x c - c s c s c s c s s It I In s s s ci werden nicht verwendet Ausgänge Die von den Volladdierern berechneten Überträge werden nicht verwendet. Stattdessen werden die Eingänge der Volladdierer i aus den Generate- und Propagate- Signalen, welche wiederum aus und i bestimmt werden, abgeleitet. Mit steigender Wortbreite n steigt die Komplexität der zur Bestimmung der Eingangssignale notwendigen Schaltungen jedoch stark an: Mit zunehmender Stellenzahl n steigt die Anzahl der Gatter, die für einen Volladdierer zur Bestimmung der Überträge benötigt werden, die Anzahl der pro Gatter benötigten Eingänge (Limitierung durch Fan-In), die Anzahl der an p i und g i angeschlossenen Gatter (Limitierung durch Fan-Out). Limitierungen durch Fan-In/Out können durch eine baumartige Verzweigung der Signale mit zusätzlichen Gattern/Treibern aufgehoben werden. Der hohen Anzahl an Gattern kann durch eine Kaskadierung entgegengewirkt werden.

18 . 44 Arithmetische Schaltungen Bei der gezeigten Carr-Look-Ahead-Schaltung muss das Signal p auf n Gatter verteilt werden. Nehmen Sie an, dass an einen Gatterausgang maximal vier Gattereingänge angeschlossen werden dürfen, d.h. Fan-Out = 4. - a) Skizzieren Sie die für n = 64 notwendige Baumstruktur, über die p verteilt wird. Zeichnen Sie den kritischen Pfad ein. Wieviele zusätzliche Gatterlaufzeiten ergeben sich durch die Baumstruktur auf dem kritischen Pfad?.## po. # Ef EEF# #. - # = 64 Bei der gezeigten Carr-Look-Ahead-Schaltung wird das Signal c durch die ODER- Verknüpfung von fünf Signalen bestimmt. Im Fall n = 64 wird c 6 (Überlauf-Bit Carr-Out) aus der ODER-Verknüpfung von 65 Signalen bestimmt. Nehmen Sie an, dass Gatter mit > 4 Eingängen in Baumstruktur aus Gattern mit,, oder 4 Eingängen aufgebaut werden. b) Skizzieren Sie für n = 64 eine baumartige Realisierung der ODER-Verknüpfung zur Erzeugung des Signals c 6. Wieviele Gatterlaufzeiten werden auf dem längsten Pfad für die ODER-Verknüpfung benötigt? CEEEI.IE#EE-.

19 .5 Carr-Look-Ahead 45 Kaskadierung von Carr-Look-Ahead-Schaltungen Mit einer Kaskadierung kann der mit großer Wortbreite einhergehenden großen Anzahl an Gattern entgegengewirkt werden. Dazu werden Carr-Look-Ahead-Schaltungen hoher Wortbreite aus mehreren Carr-Look-Ahead-Schaltungen niedrigerer Wortbreite zusammengesetzt. Nachfolgende Abbildung zeigt eine Erweiterung der bekannten 4 Bit Carr-Look-Ahead-Schaltung zur Ausgabe von Generate- und Propagate-Signalen. x G inv g p g p g p g p x c x c x c x c - P c s c s c s c s C s Zur Zusammenfassung mehrerer Carr-Look-Ahead-Schaltungen werden die Generate- /Propagate-Signale mit einer sog. Carr-Look-Ahead-Einheit verbunden x inv. CLA CLA CLA CLA s G P G C G P C G P C P C Carr-Look-Ahead-Einheit GG PP CC

20 46 Arithmetische Schaltungen Die Carr-Look-Ahead-Einheit berechnet aus den Eingangssignalen CC, P, P, P, P, G, G, G und G die Überträge C, C, C und C und leitet diese an die jeweiligen Carr-Look-Ahead-Schaltungen weiter. Da Generate-/Propagate-Signale auf zwei unterschiedlichen Hierarchie-Ebenen berechnet werden, spricht man von einer zweistufigen Carr-Look-Ahead-Schaltung. Über die Ein-/Ausgänge CC, PP und GG können mehrere 6 Bit Carr-Look-Ahead-Schaltungen zu einer Carr-Look-Ahead-Schaltung mit noch größerer Wortbreite, z.b. 64 Bit, zusammengesetzt werden. a) Wie muss die zweistufigen Carr-Look-Ahead-Schaltung zur Subtraktion beschaltet werden? Eingänge in und Cco auf setzen b) Hängen die Signale G und P von Carr-In-Signal C ab? Warum? Nein, da kein Ripple Carr c) Bestimmen Sie die Anzahl der Gatterlaufzeiten für die einstufige 4 Bit Carr- Look-Ahead-Schaltung bei einer Subtraktion für den Fall Fan-In = 4. J nach s : 7T nach P : 5T nach G : 6T c nach S : T

21 .5 Carr-Look-Ahead 47 d) Bestimmen Sie die Anzahl der Gatterlaufzeiten falls Fan-In =. s : 9T Yap : 6T G : 8T ( S : 5T

22 48 Arithmetische Schaltungen Im Folgenden wird die Carr-Look-Ahead-Einheit betrachtet x inv. CLA CLA CLA CLA s G P G C G P C G P C P Carr-Look-Ahead-Einheit GG PP C CC e) Bestimmen Sie die Signale C, C, C und C in Abhängigkeit der Signale CC, P, P, P, P, G, G, G und G. C o = C Co 4 = Go v ( Po CCO ) Cz = Gn v ( Pr n Go ) V ( Pan Po ncco ) = Gz v ( Pz ^ Gn ) v ( R n Pn n Go ) V ( Pz n Pn n Po n Cco ) f) Bestimmen Sie die Signale GG und PP in Abhängigkeit der Signale P, P, P, P, G, G, G und G. PP. = B n Pz n Pa ^ Po GGE Gsv(ßn Gz ) v ( Bn Pzn Gr) V ( Ps Pz n Pr ^ Go )

23 .5 Carr-Look-Ahead 49 g) Bestimmen Sie für Fan-In = 4 die Anzahl der Gatterlaufzeiten der Carr-Look- Ahead-Einheit von den Eingängen zu den Ausgängen C, GG und PP. = T Ppo = T GG. = T h) Skizzieren Sie für Fan-In = eine Gatterschaltung zur Bestimmung von PP. Bestimmen Sie die maximale Anzahl an Gatterlaufzeiten. i) Skizzieren Sie für Fan-In = eine Gatterschaltung zur Bestimmung von GG. Zeichnen Sie den kritischen Pfad ein und bestimmen Sie die maximale Anzahl an Gatterlaufzeiten.

3 Arithmetische Schaltungen

3 Arithmetische Schaltungen . Schaltungselemente Arithmetische Schaltungen. Schaltungselemente Logikgatter Treiber; gibt am Ausgang denselben Logikpegel aus, der auch am Eingang anliegt Inverter; gibt am Ausgang den Logikpegel des

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Versuch P1-63 Schaltlogik Vorbereitung

Versuch P1-63 Schaltlogik Vorbereitung Versuch P1-63 Schaltlogik Vorbereitung Gruppe Mo-19 Yannick Augenstein Versuchsdurchführung: 16. Januar 2012 1 Inhaltsverzeichnis Einführung 3 1 Grundschaltungen 3 1.1 AND.......................................

Mehr

Digitalelektronik. Philipp Fischer. 9. Dezember 2002

Digitalelektronik. Philipp Fischer. 9. Dezember 2002 Digitalelektronik Philipp Fischer 9. Dezember 2002 1 Inhaltsverzeichnis Einfache TTL-Schaltungen 4 EOR-Logik 5 Realisation verschiedener Logiken 5 Addierer 6 Parity-Check 6 Multiplexer 7 Basis Flip-Flop

Mehr

Praktikum Grundlagen der Elektronik

Praktikum Grundlagen der Elektronik Praktikum Grundlagen der Elektronik Versuch EP 7 Digitale Grundschaltungen Institut für Festkörperelektronik Kirchhoff - Bau K1084 Die Versuchsanleitung umfasst 7 Seiten Stand 2006 Versuchsziele: Festigung

Mehr

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik.

Verwendet man zur Darstellung nur binäre Elemente ( bis lat.: zweimal) so spricht man von binärer Digitaltechnik. Kursleiter : W. Zimmer 1/24 Digitale Darstellung von Größen Eine Meßgröße ist digital, wenn sie in ihrem Wertebereich nur eine endliche Anzahl von Werten annehmen kann, also "abzählbar" ist. Digital kommt

Mehr

12. Tutorium Digitaltechnik und Entwurfsverfahren

12. Tutorium Digitaltechnik und Entwurfsverfahren 12. Tutorium Digitaltechnik und Entwurfsverfahren Tutorium Nr. 13 Alexis Tobias Bernhard Fakultät für Informatik, KIT Universität des Landes Baden-Württemberg und nationales Forschungszentrum in der Helmholtz-Gemeinschaft

Mehr

Schaltwerke Schaltwerk

Schaltwerke Schaltwerk Schaltwerke Bisher habe wir uns nur mit Schaltnetzen befasst, also Schaltungen aus Gattern, die die Ausgaben als eine Funktion der Eingaben unmittelbar (durch Schaltvorgänge) berechnen. Diese Schaltnetze

Mehr

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L

<ruske.s@web.de> Oliver Liebold. NAND (negierte Undverknüpfung) L L H L H H H L H H H L Elektronische Grundlagen Versuch E7, Grundelemente der Digitaltechnik Praktikumsgruppe IngIF, 04. Juni 2003 Stefan Schumacher Sandra Ruske Oliver Liebold

Mehr

Rechnergrundlagen SS Vorlesung

Rechnergrundlagen SS Vorlesung Rechnergrundlagen SS 27 5. Vorlesung Inhalt Interpretation hexadezimal dargestellter Integer-Zahlen Little Endian / Big Endian Umrechnung in eine binäre Darstellung Ausführung von Additionen Optimierte

Mehr

Protokoll zu Grundelemente der Digitaltechnik

Protokoll zu Grundelemente der Digitaltechnik Protokoll zu Grundelemente der Digitaltechnik Ronn Harbich 22. uli 2005 Ronn Harbich Protokoll zu Grundelemente der Digitaltechnik 2 Vorwort Das hier vorliegende Protokoll wurde natürlich mit größter Sorgfalt

Mehr

Vorbereitung zum Versuch

Vorbereitung zum Versuch Vorbereitung zum Versuch Schaltlogik Armin Burgmeier (1347488) Gruppe 15 6. Januar 2008 1 Gatter aus diskreten Bauelementen Es sollen logische Bausteine (Gatter) aus bekannten, elektrischen Bauteilen aufgebaut

Mehr

Flip Flops allgemein - Digitale Signalspeicher

Flip Flops allgemein - Digitale Signalspeicher INFORMATION: Flip Flops allgemein - Digitale Signalspeicher Jede elektronische Schaltung, die zwei stabile elektrische Zustände hat und durch entsprechende Eingangssignale von einem Zustand in einen anderen

Mehr

P1-63,64,65: Schaltlogik

P1-63,64,65: Schaltlogik Physikalisches Anfängerpraktikum (P1) P1-63,64,65: Schaltlogik Matthias Ernst (Gruppe Mo-24) Karlsruhe, 14.12.2009 Ziel des Versuchs ist ein erster Kontakt mit nichtprogrammierbaren Schaltungen, deren

Mehr

Protokoll zum Versuch Flip-Flop

Protokoll zum Versuch Flip-Flop Naturwissenschaft Torben Pfaff Protokoll zum Versuch Flip-Flop Praktikumsbericht / -arbeit Praktikum zu Elektronische Bauelemente und Schaltungstechnik Protokoll zum Versuch Flip-Flop Versuch Flip-Flop

Mehr

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929)

Schaltlogik. Versuch: P1-64. - Vorbereitung - Physikalisches Anfängerpraktikum 1 Wintersemester 2005/06 Julian Merkert (1229929) Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Julian Merkert (1229929) Versuch: P1-64 Schaltlogik - Vorbereitung - Vorbemerkung In diesem Versuch geht es darum, die Grundlagen

Mehr

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch "inkonsistentem" Verhalten!

Q R. reset (R) set (S) unzulässig! Unkontrollierte Rückkopplung von Gatterausgängen auf Gattereingänge führt zu logisch inkonsistentem Verhalten! Schaltwerke Schaltwerke 22 Prof. Dr. Rainer Manthey Informatik II Schaltwerke: Übersicht generelles Problem grösserer Schaltnetze: Länge der Laufzeiten wird relevant Notwendigkeit der Zwischenspeicherung

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen

Grundlagen der Digitaltechnik GD. Aufgaben und Musterlösungen DIGITALTECHNIK GD KLAUSUR VOM 19. 3. 2014 AUFGABEN UND MUSTERLÖSUNGEN SEITE 1 VON 9 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 19. 3.

Mehr

Arithmetik. Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen

Arithmetik. Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen Computer and Communication Systems (Lehrstuhl für Technische Informatik) Arithmetik Zahlendarstellung, Addition und Subtraktion Multiplikation, Division, Fest- und Gleitkommazahlen [TI] Winter 2013/2014

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (Schaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1

A.3. A.3 Spezielle Schaltnetze. 2002 Prof. Dr. Rainer Manthey Informatik II 1 Spezielle Schaltnetze Spezielle Schaltnetze 22 Prof. Dr. Rainer Manthey Informatik II Übersicht in diesem Abschnitt: : Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich

Mehr

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN

GTI ÜBUNG 10 FLIPFLOPS UND AUTOMATEN GTI ÜBUNG FLIPFLOPS UND AUTOMATEN Aufgabe Flipflps 2 Beschreibung In dieser Aufgabe sllen die Eigenschaften ausgesuchter Flipflpschaltungen untersucht werden. Die Verzögerungszeit eines jeden Lgikgatters

Mehr

2 Darstellung von Zahlen und Zeichen

2 Darstellung von Zahlen und Zeichen 2.1 Analoge und digitale Darstellung von Werten 79 2 Darstellung von Zahlen und Zeichen Computer- bzw. Prozessorsysteme führen Transformationen durch, die Eingaben X auf Ausgaben Y abbilden, d.h. Y = f

Mehr

1. Praktische Übung zur Vorlesung Technische Informatik I

1. Praktische Übung zur Vorlesung Technische Informatik I Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 1. Praktische Übung zur Vorlesung Technische Informatik I Aufgabe 1 (NAND-chaltfunktion) Es soll ein chalternetzwerk

Mehr

Zahlendarstellungen und Rechnerarithmetik*

Zahlendarstellungen und Rechnerarithmetik* Zahlendarstellungen und Rechnerarithmetik* 1. Darstellung positiver ganzer Zahlen 2. Darstellung negativer ganzer Zahlen 3. Brüche und Festkommazahlen 4. binäre Addition 5. binäre Subtraktion *Die Folien

Mehr

Grundlagen der Rechnerarchitektur

Grundlagen der Rechnerarchitektur Grundlagen der Rechnerarchitektur [CS3100.010] Wintersemester 2014/15 Heiko Falk Institut für Eingebettete Systeme/Echtzeitsysteme Ingenieurwissenschaften und Informatik Universität Ulm Kapitel 5 Rechnerarithmetik

Mehr

Füllstandsregelung. Technische Informatik - Digitaltechnik II

Füllstandsregelung. Technische Informatik - Digitaltechnik II Füllstandsregelung Kursleiter : W. Zimmer 1/18 Zwei Feuchtigkeitsfühler (trocken F=0; feucht F=1) sollen zusammen mit einer geeigneten Elektronik dafür sorgen, dass das Wasser im Vorratsbehälter niemals

Mehr

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik

Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Lehrstuhl für Informatik Cauerstraße 11 91058 Erlangen TECHNISCHE FAKULTÄT Erste praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Ziel dieser praktischen Übung ist es, einen Taschenrechner

Mehr

5 Verarbeitungsschaltungen

5 Verarbeitungsschaltungen 5 Verarbeitungsschaltungen Folie 1 5 Verarbeitungsschaltungen Häufig genutzte Funktionen gibt es als fertige Bausteine zu kaufen. 5.1 Addierer logische Schaltungen zur Addition zweier Dualzahlen Alle Grundrechenarten

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Computerarithmetik (1)

Computerarithmetik (1) Computerarithmetik () Fragen: Wie werden Zahlen repräsentiert und konvertiert? Wie werden negative Zahlen und Brüche repräsentiert? Wie werden die Grundrechenarten ausgeführt? Was ist, wenn das Ergebnis

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 09/10 FB ET/IT Binäre Rechenoperationen WS 9/ Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbst erstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Lehrbuch Digitaltechnik

Lehrbuch Digitaltechnik Lehrbuch Digitaltechnik Eine Einführung mit VHDL von Prof. Dr.Jürgen Reichardt 3., überarbeitete und erweiterte Auflage Oldenbourg Verlag München Inhaltsverzeichnis Vorwort zur 3. Auflage V 1 Einleitung

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik

Versuchsvorbereitung: P1-63, 64, 65: Schaltlogik raktikum lassische hysik I Versuchsvorbereitung: 1-63, 64, 65: Schaltlogik hristian untin Gruppe Mo-11 arlsruhe, 26. Oktober 2009 Ausgehend von einfachen Logikgattern wird die Funktionsweise von Addierern,

Mehr

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop

Kapitel 2. Elementare Schaltwerke. 2.1 RS-Flipflop Kapitel 2 Elementare Schaltwerke 2.1 RS-Flipflop Unter dem Gesichtspunkt der Stabilität betrachtet, wird der zweistufige analoge Transistorverstärker des Bildes 2.1 dann instabil, wenn die gestrichelt

Mehr

Speicherung digitaler Signale

Speicherung digitaler Signale Speicherung digitaler Signale von Fabian K. Grundlagen Flipflops Bisher: Schaltungen ohne Speichermöglichkeit Jetzt: Speichermöglichkeit durch Flipflops Flipflops Grundlagen Flipflops Was sind Flipflops?

Mehr

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden?

a) Wie viele ROM-Bausteine benötigen Sie für den Aufbau des 64x16 ROMs? c) Wie viele Bytes Daten können im 64x16 ROM insgesamt gespeichert werden? VU Technische Grundlagen der Informatik Übung 4: Schaltwerke 83.579, 24W Übungsgruppen: Mo., 24.. Mi., 26..24 Aufgabe : ROM-Erweiterung Ein 64x6 ROM soll aus mehreren 32x4 ROMs (vgl. Abbildung rechts:

Mehr

Schaltlogik Versuch P1-63,64,65

Schaltlogik Versuch P1-63,64,65 Vorbereitung Schaltlogik Versuch 1-63,64,65 Iris onradi Gruppe Mo-02 23. Oktober 2010 In diesem Versuch sollen die Grundlagen der digitalen Elektronik erarbeitet werden. Das der Schaltlogik zugrunde liegende

Mehr

3 Rechnen und Schaltnetze

3 Rechnen und Schaltnetze 3 Rechnen und Schaltnetze Arithmetik, Logik, Register Taschenrechner rste Prozessoren (z.b. Intel 4004) waren für reine Rechenaufgaben ausgelegt 4 4-Bit Register 4-Bit Datenbus 4 Kbyte Speicher 60000 Befehle/s

Mehr

Musterlösungen. zu den Übungsaufgaben vom

Musterlösungen. zu den Übungsaufgaben vom GRUNDLAGEN DER DIGITALTECHNIK GD MUSTERLÖSUNGEN ZUM MERKBLATT VOM 2. 2. 07 1 Musterlösungen zu den Übungsaufgaben vom 2. 2. 07 1. Geben Sie an (Skizze, ggf. Funktionserläuterung), wie ein D-Flipflop auf

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Basisinformationstechnologie I

Basisinformationstechnologie I Basisinformationstechnologie I Wintersemester 2012/13 28. November 2012 Rechnertechnologie III Universität zu Köln. Historisch-Kulturwissenschaftliche Informationsverarbeitung Jan G. Wieners // jan.wieners@uni-koeln.de

Mehr

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler

- Strukturentwurf elementarer Rechenwerke - Grund-Flipflop (RS-Flipflop) - Register, Schieberegister, Zähler 3.Übung: Inhalte: - binäre Logik, boolsche Gleichungen - logische Grundschaltungen - trukturentwurf elementarer echenwerke - Grund-Flipflop (-Flipflop) - egister, chieberegister, Zähler Übung Informatik

Mehr

Digitale Elektronik, Schaltlogik

Digitale Elektronik, Schaltlogik Physikalisches Anfängerpraktikum 1 Gruppe Mo-16 Wintersemester 2005/06 Jens Küchenmeister (1253810) Versuch: P1-64 Digitale Elektronik, Schaltlogik - Vorbereitung - Die Grundlage unserer modernen Welt

Mehr

Vorbereitung: Schaltlogik

Vorbereitung: Schaltlogik Vorbereitung: Schaltlogik Marcel Köpke (1588978) Gruppe 7 06.01.2012 Inhaltsverzeichnis 1 Bauelemente 5 1.1 AND-Gatter................................... 5 1.2 NOT-Gatter...................................

Mehr

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Sequentielle Logik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Sequentielle Logik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Schaltwerke Flip-Flops Entwurf eines Schaltwerks Zähler Realisierung Sequentielle

Mehr

Grundlagen der Digitaltechnik GD. Aufgaben

Grundlagen der Digitaltechnik GD. Aufgaben DIGITALTECHNIK GD KLAUSUR VOM 21. 3. 2012 AUFGABEN SEITE 1 VON 4 Name: FH Dortmund Matr.-Nr.: FB Informations- und Elektrotechnik Grundlagen der Digitaltechnik GD Klausur vom 21. 3. 2012 Aufgaben 1. Wandeln

Mehr

Digitaltechnik II SS 2007

Digitaltechnik II SS 2007 Digitaltechnik II SS 27 2. Vorlesung Klaus Kasper Inhalt Schaltnetz vs. Schaltwerk NAND SR-Flip-Flop NOR SR-Flip-Flop Master-Slave Flip-Flop Zustandsdiagramm Flip-Flop Zoo Schaltnetze vs. Schaltwerke Schaltnetz:

Mehr

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher

Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Versuch D3: Busse, Speicher und Ampelsteuerung mit Speicher Version D3_16 vom 25.05.2016 Ziel dieses Versuches: Entwicklung einer Ablaufsteuerung mit einem 32 * 4 bit Speicherbaustein, um eine flexible

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren?

Aufgabensammlung. a) Berechnen Sie den Basis- und Kollektorstrom des Transistors T 4. b) Welche Transistoren leiten, welche sperren? Aufgabensammlung Digitale Grundschaltungen 1. Aufgabe DG Gegeben sei folgende Schaltung. Am Eingang sei eine Spannung von 1,5V als High Pegel und eine Spannung von 2V als Low Pegel definiert. R C = 300Ω;

Mehr

Versuch V09: Logische Gatter

Versuch V09: Logische Gatter Versuch V09: Logische Gatter Henri Menke und Jan Trautwein Gruppe Platz k (Betreuer: Boris Bonev) (Datum: 6. Dezember 203) In diesem Versuch sollen Spannungspegel, Eingangsströme und andere elementare

Mehr

Logik mit Gedächtnis : Sequentielle Logik

Logik mit Gedächtnis : Sequentielle Logik Logik mit Gedächtnis : Sequentielle Logik Schaltwerke Grundkomponenten zur Informationspeicherung: Flip-Flops Typische Schaltwerke Entwurf eines Schaltwerks Wintersemester 12/13 1 asynchrone und synchrone

Mehr

Logik (Teschl/Teschl 1.1 und 1.3)

Logik (Teschl/Teschl 1.1 und 1.3) Logik (Teschl/Teschl 1.1 und 1.3) Eine Aussage ist ein Satz, von dem man eindeutig entscheiden kann, ob er wahr (true, = 1) oder falsch (false, = 0) ist. Beispiele a: 1 + 1 = 2 b: Darmstadt liegt in Bayern.

Mehr

Rechnergrundlagen SS Vorlesung

Rechnergrundlagen SS Vorlesung Rechnergrundlagen SS 2007 3. Vorlesung Inhalt Zahlensysteme Binäre Darstellung von Integer-Zahlen Vorzeichen-Betrag Binary Offset 1er-Komplement 2er-Komplement Addition und Subtraktion binär dargestellter

Mehr

Von-Neumann-Rechner / Rechenwerk

Von-Neumann-Rechner / Rechenwerk Von-Neumann-Rechner / Rechenwerk Aufgaben: Durchführung arithmetischer und logischer Verknüpfungen (daher auch der Name Arithmetic Logical Unit) Steuerwerk und Rechenwerk werden usammen auch als CPU usammengefasst.

Mehr

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen

Elektronikpraktikum. 9 Logische Gatter. Fachbereich Physik. Stichworte. Schriftliche Vorbereitung. Hinweis. 9.1 Eigenschaften von TTL-Logikbausteinen Fachbereich Physik 9 Logische Gatter Stichworte Elektronikpraktikum Logischen Grundverknüpfungen, Beziehungen zwischen den Grundverknüpfungen, binäres Zahlensystem, Hexadezimalsystem, positive u. negative

Mehr

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine

Grundlagen der Informatik 2. Grundlagen der Digitaltechnik. 5. Digitale Speicherbausteine Grundlagen der Informatik 2 Grundlagen der Digitaltechnik 5. Digitale Speicherbausteine Prof. Dr.-Ing. Jürgen Teich Dr.-Ing. Christian Haubelt Lehrstuhl für Hardware-Software Software-Co-Design Grundlagen

Mehr

Arithmetik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Arithmetik. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Arithmetik Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Zahlendarstellung Addition und Subtraktion Multiplikation Division Fest- und Gleitkommazahlen

Mehr

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker

Darstellung eines 1-Bit seriellen Addierwerks mit VHDL. Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Darstellung eines 1-Bit seriellen Addierwerks mit VHDL Tom Nagengast, Mathias Herbst IAV 07/09 Rudolf-Diesel-Fachschule für Techniker Inhalt: 1. Verwendete Tools 1.1 Simili 3.1 1.2 Tina 2. Vorgehensweise

Mehr

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck

Steuerwerk einer CPU. Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Steuerwerk einer CPU Einführung in die Technische Informatik Falko Dressler, Stefan Podlipnig Universität Innsbruck Übersicht Implementierung des Datenpfads Direkte Implementierung Mikroprogrammierung

Mehr

Informationsmenge. Maßeinheit: 1 Bit. 1 Byte. Umrechnungen: Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit

Informationsmenge. Maßeinheit: 1 Bit. 1 Byte. Umrechnungen: Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit Informationsmenge Maßeinheit: 1 Bit Informationsmenge zur Beantwortung einer Binärfrage kleinstmögliche Informationseinheit 1 Byte Zusammenfassung von 8 Bit, kleinste Speichereinheit im Computer, liefert

Mehr

Informatik Übungsaufgaben

Informatik Übungsaufgaben Tobias Krähling email: Homepage: 22.2.27 Version: 1.5 Zusammenfassung Die Übungsaufgaben stammen aus den Übungsaufgaben und Anwesenheitsaufgaben zur Vorlesung»Einführung

Mehr

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen

6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen 6.0 Speicherelemente, Flip-Flops, sequentielle Schaltungen Ziele dieses Kapitels sind: Lernen wie digitale Speicherelemente aus elementaren Logikgattern aufgebaut werden Unterschiede zwischen asynchronen

Mehr

Versuch 3: Sequenzielle Logik

Versuch 3: Sequenzielle Logik Versuch 3: Sequenzielle Logik Versuchsvorbereitung 1. (2 Punkte) Unterschied zwischen Flipflop und Latch: Ein Latch ist transparent für einen bestimmten Zustand des Taktsignals: Jeder Datensignalwechsel

Mehr

Rechnenund. Systemtechnik

Rechnenund. Systemtechnik Rechnen- und Systemtechnik 1 / 29 Rechnenund Systemtechnik Skript und Unterrichtsmitschrift April 22 Rechnen- und Systemtechnik 2 / 29 nhaltsverzeichnis 1. Grundbausteine der Digitaltechnik... 4 1.1. UND-Verknüpfungen

Mehr

2.5.1 Das Basis-Flipflop

2.5.1 Das Basis-Flipflop 2.5 Die Flipflops 137 2.5.1 Das Basis-Flipflop Basis-Flipflops sind nicht taktgesteuerte FF. ie sollen die Funktionen etzen, Löschen und peichern aufweisen. 1 - etzeing. (et) - Löscheing. (eset) 2 etzen:

Mehr

1. 4-Bit Binärzahlen ohne Vorzeichen 2. 4-Bit Binärzahlen mit Vorzeichen 3. 4-Bit Binärzahlen im 2er Komplement 4. Rechnen im 2er Komplement

1. 4-Bit Binärzahlen ohne Vorzeichen 2. 4-Bit Binärzahlen mit Vorzeichen 3. 4-Bit Binärzahlen im 2er Komplement 4. Rechnen im 2er Komplement Kx Binäre Zahlen Kx Binäre Zahlen Inhalt. Dezimalzahlen. Hexadezimalzahlen. Binärzahlen. -Bit Binärzahlen ohne Vorzeichen. -Bit Binärzahlen mit Vorzeichen. -Bit Binärzahlen im er Komplement. Rechnen im

Mehr

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.

Table of Contents. Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik. Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle. Table of Contents Table of Contents UniTrain UniTrain-Kurse UniTrain-Kurse Digitaltechnik 1 2 2 3 Lucas Nülle GmbH Seite 1/8 https://www.lucas-nuelle.de UniTrain UniTrain - das multimediale E-learning

Mehr

5.0 Kombinatorische Schaltkreise, Schaltnetze

5.0 Kombinatorische Schaltkreise, Schaltnetze 5.0 Kombinatorische Schaltkreise, Schaltnetze Ziel des Kapitels ist es Kenntnisse über folgendes zu erwerben: Synthese von Schaltnetzen Analyse von Schaltnetzen - Logische Analyse - Laufzeiteffekte in

Mehr

4 DIGITALE SCHALTUNGSTECHNIK

4 DIGITALE SCHALTUNGSTECHNIK Digitale Schaltungstechnik 59 4 DIGITALE SCHALTUNGSTECHNIK Um Daten zu verarbeiten, verwenden Computer als grundlegende Größen logische Variablen, die genau zwei Zustände annehmen können, nämlich den Wert

Mehr

Von der Aussagenlogik zum Computer

Von der Aussagenlogik zum Computer Von der Aussagenlogik zum Computer Markus Koch Gymnasium in der Glemsaue Ditzingen Januar 2012 Inhaltsverzeichnis Einleitung...3 Der Computer...3 Grundlagen...4 Wahrheitstabellen...4 Aussagenlogik...4

Mehr

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M

COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M COMPUTERGESTÜTZTES EXPERIMENTIEREN I P R A K T I K U M 1 Übersicht Im Praktikum zur Vorlesung Computergestütztes Experimentieren I wird der Vorlesungsstoff geübt und vertieft. Ausserdem werden die speziellen

Mehr

Flipflops. asynchron: Q t Q t+t

Flipflops. asynchron: Q t Q t+t Flipflops Ein Flipflop ist ein elementares Schaltwerk, das jeweils einen von zwei Zuständen ( 0 und 1 ) annimmt. Es hat zwei komplementäre Ausgänge ( Q und Q ), die den internen Zustand anzeigen. (Falls

Mehr

Musterlösung 1. Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016

Musterlösung 1. Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016 Musterlösung 1 Mikroprozessortechnik und Eingebettete Systeme 1 WS2015/2016 Hinweis: Die folgenden Aufgaben erheben nicht den Anspruch, eine tiefergehende Kenntnis zu vermitteln; sie sollen lediglich den

Mehr

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum:

Bericht. Digitallabor. Hochschule Karlsruhe N1. Aufgabe 5.6 Stoppuhr. Teilnehmer: Natalia Springer, Tong Cha. Datum: Bericht Digitallabor Hochschule Karlsruhe N1 Aufgabe 5.6 Stoppuhr Teilnehmer: Natalia Springer, Tong Cha Datum: 09.01.08 5.6.1 4 Dekadenzähler Aufgabe: Es soll ein 4 Dekaden- Zähler entworfen werden, dessen

Mehr

Zahlendarstellung und Rechnerarithmetik

Zahlendarstellung und Rechnerarithmetik G G.1.1 Inhaltlich Zahlendarstellung: lesbare ASCII Zeichenkette, ganzzahliger Integer, Gleitkommazahl, Festkommazahl. Arithmetik: Vorzeichenregeln, Exponenten, Vorzeichen, Überlauf. Zahlendarstellung

Mehr

Vom Schalter zum Computer

Vom Schalter zum Computer 1 Vom Schalter zum Computer 38 Woraus besteht eigentlich ein Computerchip? All computers are just carefully organized sand Abbildung: Siliziumquelle SiO 2 The Desert by John O'Nolan CC-BY-2.0, http://flic.kr/p/aej8rk

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Klausur Integrierte Schaltungen 07.03.2013 Hinweise: Beantwortung der Fragen bitte nur auf den Aufgabenbättern! (inkl. Rückseite) Nur vom Assistenten angeheftete und abgezeichnete Zusatzblätter werden

Mehr

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12

FH Jena Prüfungsaufgaben Prof. Giesecke FB ET/IT Binäre Rechenoperationen WS 11/12 FB ET/IT Binäre Rechenoperationen WS /2 Name, Vorname: Matr.-Nr.: Zugelassene Hilfsmittel: beliebiger Taschenrechner eine selbsterstellte Formelsammlung Wichtige Hinweise: Ausführungen, Notizen und Lösungen

Mehr

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß

Achtung: Bei der Inbetriebnahme von TTL-Bausteinen ist zu beachten, daß der Anschluß Fakultät für Physik Prof. Dr. M. Weber, Dr.. abbertz B. iebenborn, P. ung, P. kwierawski, C. hiele 7. Dezember Übung Nr. 8 Inhaltsverzeichnis 8. L-Gatter............................................ 8.

Mehr

II. Grundlagen der Programmierung

II. Grundlagen der Programmierung II. Grundlagen der Programmierung II.1. Zahlenssteme und elementare Logik 1.1. Zahlenssteme 1.1.1. Ganze Zahlen Ganze Zahlen werden im Dezimalsstem als Folge von Ziffern 0, 1,..., 9 dargestellt, z.b. 123

Mehr

Angewandte Physik II: Elektronik

Angewandte Physik II: Elektronik Elektronik für Physiker Prof. Brunner SS 26 Angewandte Physik II: Elektronik 9. Schaltwerke. Monostabile Kippschaltung: Univibrator 2. Astabile Kippschaltung: Multivibrator 3. Bistabile Kippschaltung:

Mehr

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker

Digitaltechnik. vieweg. Klaus Fricke. Lehr- und Übungsbuch für Elektrotechniker und Informatiker Klaus Fricke Digitaltechnik Lehr- und Übungsbuch für Elektrotechniker und Informatiker 2., durchgesehene Auflage Mit 147 Abbildungen und 86 Tabellen Herausgegeben von Otto Mildenberger vieweg VII 1 Einleitung

Mehr

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER

DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Seite 1 von 15 DIGITALTECHNIK 08 FREQUENZ-ZÄHLER Inhalt Seite 2 von 15 1 FREQUENZ-ZÄHLER... 3 1.1 ÜBERSICHT... 3 1.2 EINLEITUNG... 4 2 ASYNCHRONZÄHLER... 5 2.1 VORWÄRTSZÄHLER... 5 2.2 RÜCKWÄRTSZÄHLER...

Mehr

Eine Logikschaltung zur Addition zweier Zahlen

Eine Logikschaltung zur Addition zweier Zahlen Eine Logikschaltung zur Addition zweier Zahlen Grundlegender Ansatz für die Umsetzung arithmetischer Operationen als elektronische Schaltung ist die Darstellung von Zahlen im Binärsystem. Eine Logikschaltung

Mehr

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1:

Übungen zur Vorlesung Technische Informatik I, SS 2001 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik. Aufgabe 1: Übungen zur Vorlesung echnische Informatik I, SS 2 Strey / Guenkova-Luy / Prager Übungsblatt 2 Sequentielle Logik Aufgabe : Analysieren Sie das gezeigte Flip-Flop. Geben Sie eine Wahrheitstabelle an, wie

Mehr

Mikroprozessor als universeller digitaler Baustein

Mikroprozessor als universeller digitaler Baustein 2. Mikroprozessor 2.1 Allgemeines Mikroprozessor als universeller digitaler Baustein Die zunehmende Integrationsdichte von elektronischen Schaltkreisen führt zwangsläufige zur Entwicklung eines universellen

Mehr

Rechnerarithmetik. Vorlesung im Sommersemester 2008. Eberhard Zehendner. FSU Jena. Thema: Ripple-Carry- und Carry-Skip-Addierer

Rechnerarithmetik. Vorlesung im Sommersemester 2008. Eberhard Zehendner. FSU Jena. Thema: Ripple-Carry- und Carry-Skip-Addierer Rechnerarithmetik Vorlesung im Sommersemester 2008 Eberhard Zehendner FSU Jena Thema: Ripple-Carry- und Carry-Skip-Addierer Eberhard Zehendner (FSU Jena) Rechnerarithmetik Ripple-Carry- und Carry-Skip-Addierer

Mehr

Grundtypen Flip-Flops

Grundtypen Flip-Flops FLIP-FLOPs, sequentielle Logik Bei den bislang behandelten Logikschaltungen (chaltnetzen) waren die Ausgangsgrößen X, Y... zu jeder Zeit in eindeutiger Weise durch die Kombination der Eingangsvariablen

Mehr

zugehöriger Text bei Oberschelp/Vossen: 2.1-2.3

zugehöriger Text bei Oberschelp/Vossen: 2.1-2.3 Spezielle Schaltnetze Übersicht in diesem Abschnitt: Vorstellung einiger wichtiger Bausteine vieler elektronischer Schaltungen, die sich aus mehreren Gattern zusammensetzen ("spezielle Schaltnetze") und

Mehr

III. Asynchrone und synchrone Schaltwerke

III. Asynchrone und synchrone Schaltwerke Ein asynchrones Schaltwerk entsteht dadurch, daß an bei eine Schaltnetz SN1 indestens eine Ausgang auf die Eingänge rückkoppelt. Das Verhalten des Schaltwerks ist dait nicht nur von den Eingangsgrößen

Mehr

Algorithmen zur Integer-Multiplikation

Algorithmen zur Integer-Multiplikation Algorithmen zur Integer-Multiplikation Multiplikation zweier n-bit Zahlen ist zurückführbar auf wiederholte bedingte Additionen und Schiebeoperationen (in einfachen Prozessoren wird daher oft auf Multiplizierwerke

Mehr

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen

9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Fortgeschrittenenpraktikum I Universität Rostock - Physikalisches Institut 9. Elektronische Logiksysteme ohne Rückführung, kombinatorische Schaltungen Name: Daniel Schick Betreuer: Dipl. Ing. D. Bojarski

Mehr

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze

Computersysteme. 2. Grundlagen Digitaler Schaltungen 2.15 Fan-In und Fan-Out 2.16 Standard-Schaltnetze Computersysteme 2. Grundlagen Digitaler Schaltungen 2.5 Fan-In und Fan-Out 2.6 Standard-Schaltnetze 2.5 Fan-In und Fan-Out: Fan-In: Die Anzahl der Eingänge in ein Gatter. Bestimmt die Anzahl der Transistoren

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr