Experimente mit dem XILINX-Spartan3E-StarterKIT

Größe: px
Ab Seite anzeigen:

Download "Experimente mit dem XILINX-Spartan3E-StarterKIT"

Transkript

1 G. Jorke: Experimente mit dem XILINX-Spartan3E-StarterKIT Projekt : Rastergenerator für VGA-Monitor Das Projekt soll schrittweise von Studenten anhand der gezeigten Beispiellösungen mit Abwandlungen selbst entwickelt werden. Deshalb wird auf eine Download-Möglichkeit der Gesamtlösung verzichtet. Die angegebenen Lösungen und Daten sind möglicherweise mit Urheberrechten Dritter belegt. Die Nutzungsrechte dieser Lösungen sind vom Anwender zu prüfen. Die LCD-Anzeige des KITs ist für die Anzeige von zwei Textzeilen mit je 16 Zeichen geeignet. Mit einem sehr geringen Anteil der FPGA-Ressourcen kann ein VGA-Controller für die Ausgabe alphanumerischer und grafischer Informationen auf einen Bildschirm installiert werden. VGA-Monitore sind fast überall verfügbar. Die Steuereinheit mit der Darstellung eines Bildrasters ist eine Zwischenstufe bei der Entwicklung eines VGA-Controllers. Das SPARTAN3E-KIT enthält eine sparsam ausgerüstete VGA-Schnittstelle mit 8 Farben. Den analogen Farbsignalen ROT, GRÜN und BLAU ist jeweils nur ein digitales Ausgangssignal des FPGA zugeordnet. Für viele Anwendungen ist das ausreichend. 1. VGA-Norm Ein analoger Monitor wird durch die Form der Synchronimpulse auf unterschiedliche Auflösungen eingestellt: Standard Pixel Bildfrequenz Pixelfrequenz VGA 640 * Hz 25 MHz SVGA 800 * Hz 45 MHz.. Wir betrachten nur die VGA-Norm mit der kleinsten Auflösung mit 640*480 Pixel. In diesem Fall sind die Horizontal- und Vertikalsynchronimpulse 0 -aktiv.

2 Jorke: Rechnergestützter Entwurf digitaler Systeme Bild 1 zeigt die Zeitbedingungen der Horizontalsteuerung. Die 640 Pixeltakte zum Zeichnen der Zeile werden um 160 Takte für die Synchronlücke ergänzt. Für 800 Pixeltakte pro Zeile ergibt sich die Zeilenzeit mit 32 / us. Diese Zeilenzeit entspricht einer Zeilenfrequenz von khz. Mit 480 Zeilen für ein Bild, zuzüglich von 45 Zeilen für den Bildwechsel, erhalten wir eine Bildwechselfrequenz von 59.5 Hz. Bild 1 Timing der Horizontalsteuerung 2. Horizontalsteuerung Für die Horizontalsteuerung werden getrennte Pixelzähler für den Synchronbereich und den Bildbereich eingesetzt. Der Entwurf der Zähler soll auf hohe Arbeitsfrequenzen orientiert werden. Damit lässt sich die Lösung auf Controller mit höheren Pixelfrequenzen (zum Beispiel SVGA mit 40 MHz) übertragen. Der Zähler für den Bildbereich mit den Zählstufen QB[9:0] besteht aus den drei Teilerstufen 1/16 mit QB[3:0], 1/8 mit QB[6:4] und 1/5 mit QB[9:7]. Von den beiden Vorteilern werden die Überträge über die Flipflops QBCE3 und QBCE7 an den oberen 1/5-Teiler geführt. Ein Zähler aus Teilzählern zählt im Dualcode, wenn die vorderen Teilerstufen vollständige Dualzähler sind. Der 1/5-Teiler muss als letzte Teilerstufe eingesetzt werden. Für den Synchronbereich wird ein 1/160-Zähler QH[7:0] aus einem 1/16-Vorteiler QH[3:0] und dem 1/10-Folgeteiler QH[7:4] eingesetzt. Hierbei wird der Übertrag mit dem Flipflop QHCE4 übertragen. Die Zähler für den Bildbereich und den Synchronbereich arbeiten alternierend. Die Umschaltung der beiden Zähler erfolgt mit einem Zustands-Flipflop QHBS. Dieses Zustands-Flipflop muss im Takt 639 des Bildbereichszählers zurückgesetzt und im

3 Experimente mit dem SPARTAN3E-Starter-KIT Takt 159 des Synchronbereichszählers gesetzt werden. Die Ansteuersignale entsprechen zugleich den Rücksetzsignalen des oberen 1/5-Teiler des Bildbereichszählers und des 1/10-Folgeteilers des Synchronbereichszählers. Der Horizontalsynchronimpuls soll mit einem RS-Flipflop QHSYNC als 1 -aktives Signal gebildet werden. Dafür sind die Codewerte (Takt 15) zum Setzen und (Takt 111) geeignet. Bild 2 zeigt im linken Teil eine naheliegende Schaltungslösung zur Ansteuerung des Flipflops QHSYNC. Verknüpfungen einer großen Zahl von Eingangssignalen erfordern jedoch die Zusammenschaltung von mehreren LUT-Elementen. Dabei addieren sich die Verzögerungen im Signalweg hintereinander liegender Logik- Schaltungen. Wir nutzen das Beispiel zur Demonstration verzögerungsarmer Schaltungstechniken. Die mit den LUT-Elementen verfügbaren D-Flipflops können für die Synchronisation von Zwischensignalen auf die Taktflanke genutzt werden. Zusätzlich entstehen störfestere ( robuste ) Schaltungen, wenn die Ansteuersignale über einen Takt hinaus verlängert werden. Für eine störfeste Schaltung wird je ein Doppelimpuls in den Takten (14,15) und (110,111) gebildet. Diese sind vom Signal QH(0) unabhängig. Bild 2 zeigt im rechten Teil die schrittweise Zusammenfassung der Eingangssignale. Dabei wird von dem Sonderfall Gebrauch gemacht, dass die unteren Bitstellen QH[4:0] in beiden Ansteuersignalen mit der gleichen Kombination auftreten. In diesem Fall reicht ein D-Flipflop für die Zusammenfassung der unteren Bitstellen. Bild 2 Ansteuerung des Flipflops für die Bildung des Horizontalsynchronimpulses Das VHDL-Modul VGAHCTRL bildet mit der Vorgabe der 25 MHz Pixelfrequenz CLKPIX die Bildbereichs-Pixeladresse YQB[9:0], den Horizontalsynchronimpuls HSYNC und das Zustandssignal HBS für die Markierung des Bildbereiches. In der process-anweisung count werden die beiden Zähler zusammengefasst.

4 Jorke: Rechnergestützter Entwurf digitaler Systeme entity VGAHCTRL is port ( CLKPIX: in std_logic; YQB: out std_logic_vector(9 downto 0); HSYNC,HBS: out std_logic ); end VGAHCTRL; architecture VGAHCTRL_A of VGAHCTRL is signal QB: std_logic_vector(9 downto 0):=" "; signal QHBS,QHSYNC,QBCE4,QBCE7: std_logic:='0'; signal QH: std_logic_vector (7 downto 0):=" "; signal QHCE4: std_logic; signal QH15low: std_logic; count: process (CLKPIX) variable SH15D,SH111D: std_logic; if rising_edge(clkpix) then if QHBS='1' then QB(3 downto 0)<=QB(3 downto 0)+1; QBCE4<=QB(3) and QB(2) and QB(1) and not QB(0); if QBCE4='1' then QB(6 downto 4)<=QB(6 downto 4)+1; QBCE7<=QB(6) and QB(5) and not QB(4); if QBCE7='1' then if QB(9 downto 7)="100" then QB(9 downto 7)<="000"; QHBS<='0'; QB(9 downto 7)<=QB(9 downto 7)+1; QH(3 downto 0)<=QH(3 downto 0)+1; QHCE4<=QH(3) and QH(2) and QH(1) and not QH(0); if QHCE4='1' then if QH(7 downto 4)="1001" then QH(7 downto 4)<="0000"; QHBS<='1'; QH(7 downto 4)<=QH(7 downto 4)+1; -- Horizontalsynchronsignal QH15low<=not QH(4) and QH(3) and QH(2) and QH(1); SH15D:=QH15low and not QH(7) and not QH(6) and not QH(5); SH111D:=QH15low and not QH(7) and QH(6) and QH(5); if SH15D='1' then QHSYNC<='1'; if SH111D='1' then QHSYNC<='0'; end process COUNT;

5 Experimente mit dem SPARTAN3E-Starter-KIT YQB<=QB; HSYNC<=QHSYNC; HBS<=QBS; end VGAHCTRL_A; Bild 3 zeigt das Simulationsergebnis bei der Vorgabe eines Pixeltaktes CLKPIX von 25 MHz. Der Horizontalsynchronimpuls wird als 1 -aktives Signal mit der Periodendauer von 32 / us gebildet. Bild 3 Simulation der Horizontalsteuerung Der Bildbereichszähler YQB[9:0] durchläuft den Wertebereich x 000 bis x 27F und bleibt danach in der Synchronlücke auf dem Wert x 000 stehen. 3. VGA-Vertikalsteuerung Die VGA-Norm berücksichtigt für den Bildrücklauf eine Zeit von 45 Zeilen. In dieser Bildsynchronlücke ist der Bildsynchronimpuls mit einer Länge von zwei Zeilen nach Bild 4 eingefügt. Bild 4 Timing der Vertikalsteuerung Mit der Zeilenfrequenz von khz wird der 1/480 Zeilenzähler QR[8:0] und ein dazu alternierend arbeitender 1/45-Zähler QW[5:0] für die Bildlücke getaktet.

6 Jorke: Rechnergestützter Entwurf digitaler Systeme Der 1/480-Zähler besteht aus dem 1/32-Vorteiler und einem 1/15-Teiler. Der 1/45- Zähler arbeitet als 6-stufiger Dualzähler mit interner Rücksetzung. Ein dualer Vorteiler kann in diesem Fall nicht abgetrennt werden. Im Bildsynchronbereich wird der Vertikalsynchronimpuls mit dem Flipflop QVSYNC gebildet. Die Ansteuersignale zum Setzen und Rücksetzen sind den Takten 11 und 13 innerhalb des Bildsynchronbereiches zugeordnet. Das VHDL-Modul VGACTRL nutzt das Modul VGAHCTRL als interne Komponente. Als Ausgangssignale stehen die Pixeladressen YQB[9:0] und die Zeilenadressen YQR[8:0] innerhalb des Bildbereiches zur Verfügung. Zusätzlich werden Horizontal- und Vertikalsynchronimpuls und die Markierung der Zeit für das Zeichnen des Bildbereichs READMOD ausgegeben. Die Bildwechselfrequenz CLKV wird vom Horizontalsynchronimpuls HSYNC übernommen. entity VGACTRL is port ( CLKPIX: in std_logic; YQB: out std_logic_vector(9 downto 0); YQR: out std_logic_vector(8 downto 0); HSYNC,VSYNC: out std_logic; READMOD: out std_logic ); end VGACTRL; architecture VGACTRL_A of VGACTRL is component VGAHCTRL port ( CLKPIX: in std_logic; YQB: out std_logic_vector(9 downto 0); HSYNC,HBS: out std_logic ); end component VGAHCTRL; signal QR: std_logic_vector(8 downto 0); -- Bildber.-Zähler. signal QW: std_logic_vector(5 downto 0); -- Synchber.-Z. signal QVBS,QVSYNC,QRCE4: std_logic; -- Flipflops signal CLKV,wHSYNC,HBS: std_logic; HZctrl: VGAHCTRL port map (CLKPIX,YQB,wHSYNC,HBS); CLKV<=wHSYNC; cnt: process (CLKV) variable wsw11,wsw13: std_logic; if rising_edge(clkv) then if QVBS='1' then -- Zeilenzähler 1/480 QR(4 downto 0)<=QR(4 downto 0)+1; -- Vorteiler 1/32 QRCE4<=QR(4) and QR(3) and QR(2) and QR(1) and not QR(0); -- Uebertrag Vorteiler if QRCE4='1' then -- Zaehler 1/15 if QR(8 downto 5) ="1110" then QR(8 downto 5)<="0000"; -- synchr. Ruecks. QVBS<='0'; -- Zustands-Flipflop QR(8 downto 5)<=QR(8 downto 5)+1;

7 Experimente mit dem SPARTAN3E-Starter-KIT if QW ="101100" then -- Zeilenzaehler 1/45 QW<="000000"; -- synchrones Ruecksetzen QVBS<='1'; -- Zustands-Flipflop QW<=QW+1; wsw11:=not QW(5) and not QW(4) and QW(3) and not QW(2) and QW(1) and QW(0); wsw13:=not QW(5) and not QW(4) and QW(3) and QW(2) and not QW(1) and QW(0); if wsw11 ='1' then QVSYNC<='1'; if wsw13 ='1' then QVSYNC<='0'; end process cnt; READMOD<=QVBS and HBS; VSYNC<=QVSYNC; YQR<=QR; HSYNC<=wHSYNC; end VGACTRL_A; Für die Simulation beschreibt eine VHDL-Testbench den Pixeltakt CLKPIX mit einer Periodendauer von 40 ns und den Takt der Vertikalablenkung CLKV mit 32 /us. Bild 5 Simulationsausschnitt für den Bildwechsel Das Simulationsergebnis in Bild 5 zeigt das Ende des Bildbereiches mit dem hexadezimalen Zählwert x 1DF (=479) für den Zähler YQR[8:0]. Das Zeichnen eines Bildes mit dem vorangehenden Bildsynchronbereich erfordert 16,6 / us. Daran schließt sich die nächste Bildsynchronlücke mit dem 1 -aktiven Bildsynchronimpuls VSYNC an. Das Signal READMOD kennzeichnet die für die Ausgabe der Bildinformation benötigten Zeitabschnitte. In dieser Zeit werden die Daten aus einem Videospeicher ausgelesen.

8 Jorke: Rechnergestützter Entwurf digitaler Systeme In Bild 6 werden die Zeitbereiche der VGA-Steuerung mit den beiden Betriebsformen grafisch dargestellt. Der Synchron-Zeitbereich kann später für das Einschreiben der Daten in den Videospeicher genutzt werden. Bild 6 Zeitbereich für das Lesen der Bildinformation 4. Testschaltung Die Steuerschaltung kann mit einer Testschaltung überprüft werden. Dabei werden Bildmuster aus den Horizontal- und Vertikal-Pixeladressen gebildet. Die Funktion entspricht der Anschaltung eines ROM-Speichers mit einer fest eingetragenen Bildinformation. Die Synchronisationssignale werden über Inverterstufen an den VGA-Monitor geführt. Die Ausgangstreiber und Takttreiber können in der Strukturbeschreibung berücksichtigt werden. Im folgenden Beispiel wird die Fähigkeit des Systems ISE genutzt, das diese Treiber für die Pinanschlüsse bei der Implementierung automatisch ergänzt. entity VGAAPP1 is port ( CLK50MP : in std_logic; HSYNCnP,VSYNCnP : out std_logic; COLORP : out std_logic_vector(2 downto 0)); end VGAAPP1; architecture VGAAPP1_A of VGAAPP1 is component VGACTRL. signal wyqb: std_logic_vector(9 downto 0); signal wyqr: std_logic_vector(8 downto 0); signal CLK25M,wHSYNC,wVSYNC,wREADMOD: std_logic;

9 Experimente mit dem SPARTAN3E-Starter-KIT signal QCLK25M: std_logic:='0'; div2: process(clk50mp) if rising_edge(clk50mp) then QCLK25M<=not QCLK25M; end process div2; TmgVGA: VGACTRL port map (QCLK25M,wYQB,wYQR, whsync,wvsync,wreadmod); HSYNCnP<=not whsync; VSYNCnP<=not wvsync; COLORP(0)<=(wYQB(7) and wyqr(7)) and wreadmod; COLORP(1)<=(wYQB(6) and wyqr(6)) and wreadmod; COLORP(2)<=(wYQB(5) and wyqr(5)) and wreadmod; end VGAAPP1_A; Die zugehörige -.ucf-datei legt die Verbindung zum VGA-Anschluss des KITs fest: #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "CLK50MP" LOC="C9" IOSTANDARD = LVCMOS33 ; NET "COLORP<0>" LOC="H14" IOSTANDARD=LVTTL DRIVE=8 SLEW=FAST; NET "COLORP<1>" LOC="H15" IOSTANDARD=LVTTL DRIVE=8 SLEW=FAST; NET "COLORP<2>" LOC="G15" IOSTANDARD=LVTTL DRIVE=8 SLEW=FAST; NET "HSYNCnP" LOC="F15" IOSTANDARD=LVTTL DRIVE=8 SLEW=FAST; NET "VSYNCnP" LOC="F14" IOSTANDARD=LVTTL DRIVE=8 SLEW=FAST; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE Nach dem Download der Bitstreamdatei zeigt der an das KIT angeschlossene VGA-Monitor ein Rastermuster aus Quadraten mit einer Kantenlänge von 32 Pixeln in den 8 Grundfarben. Anfragen an den Autor sind über das Gästebuch des Lehrbuches Rechnergestützter Entwurf digitaler Schaltungen auf dieser Website möglich.

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Martin Schulz Einführung in die Rechnerarchitektur Wintersemester 07/08 Tutorübung

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Tutorial Vivado/Verilog Teil 8 VGA-Display

Tutorial Vivado/Verilog Teil 8 VGA-Display Tutorial Vivado/Verilog Teil 8 VGA-Display Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf eines komplexeren digitalen Systems in

Mehr

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November

IHS2 Seminar. Einführung. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 06 November Einführung Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 06 November 2009 1 Inhalt Einführung in die VHDL Programmierung Vorstellen der Anwendungen Entwurf und Synthese

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

Praktikum Systementwurf mit VHDL HDL Design Lab

Praktikum Systementwurf mit VHDL HDL Design Lab Praktikum Systementwurf mit VHDL HDL Design Lab Inhalt Kryptographie - Aufgaben VHDL - Konzepte Beispiel: 16 bit XOR Kryptographie - Aufgaben Geheimhaltung Integrität Authentifizierung Verbindlichkeit

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 5.1 Eine kontinuierliche serielle Signalfolge soll mit einer Seriell/Parallel- Wandlerstufe in Byte-parallele Daten umgeformt werden. Die Wandlerstufe besteht aus einem Schieberegister

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

Tristate Buffer / erste Module

Tristate Buffer / erste Module IP-Core Generator / Automaten / Platzierung Tristate Buffer / erste Module 27 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 27 November 2009 1 Inhalt IP-Core Generator Implementierung

Mehr

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt

18 Schieberegister. Serieller Serieller Eingang 5 Stufen Ausgang. 1. Takt. 2. Takt 8 Schieberegister In Schieberegistern wird die Eingangsinformation am Schiebeeingang SE in einer Kette von Flipflops bei jeder Taktflanke eingelesen und weiter geschoben. Sie erscheint schließlich nach

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

EHP Einführung Projekt A

EHP Einführung Projekt A Volker Dörsing EHP Einführung Projekt A email: doersing@uni-jena.de praktische Übung www: http://users.minet.uni-jena.de/~ehp-head Vorbereitung, Durchführung, Kolloquium Infos zur Veranstaltung, Versuchsanleitung

Mehr

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland

Echtzeitbildverarbeitung mit FPGAs. Feith Sensor to Image GmbH, Schongau Matthias Schaffland Echtzeitbildverarbeitung mit FPGAs Feith Sensor to Image GmbH, Schongau Matthias Schaffland Feith Sensor to Image GmbH Gegründet 1989 als Bildverarbeitungs- Spezialist für kundenspezifische Komponenten

Mehr

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck?

BFP Entwurf Eingebetteter Systeme Elektronische Musik. Ein Klavier oder Wie klingt ein Rechteck? 12 2011/10/26 BFP Entwurf Eingebetteter Systeme Elektronische Musik Wintersemester 2011/12 Ein Klavier oder Wie klingt ein Rechteck? Michael Engel Informatik 12 TU Dortmund Überblick Entwurfsprinzipien

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Simulieren der Unisim Library mit Hilfe des Simulator GHDL

Simulieren der Unisim Library mit Hilfe des Simulator GHDL Simulieren der Unisim Library mit Hilfe des Simulator GHDL René Doß http://www.dossmatik.de 22. Januar 2010 GHDL ist ein frei verfügbarer Simulator, der in der Entwicklung einsatzfähig ist. Das Tool ist

Mehr

12 VHDL Einführung (III)

12 VHDL Einführung (III) 12 VHDL Einführung (III) 12.1 Mehrwertige Datentypen (std_logic, std_ulogic) Einführung zweier neuer neunwertiger Datentypen std_logic und std_ulogic (IEEE-Standard 1164) Wert 'U' 'X' '0' '1' 'Z' 'W' 'L'

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Aufgaben und Lösungen

Aufgaben und Lösungen Aufgaben und Lösungen Aufgabe 2.1 Das folgende Schaltbild soll in eine VHDL-Verhaltensbeschreibung übertragen werden. Lösung 2.1 Jedes Schaltbild aus logischen Grundelementen kann in eine logische Gleichung

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Endliche Automaten 1 WS 00/01. Steuerautomaten

Endliche Automaten 1 WS 00/01. Steuerautomaten Endliche Automaten 1 WS 00/01 Steuerautomaten Steuerautomaten dienen zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011

Klausur ( ) : Technische Grundlagen der Informatik 1 Digitale Systeme WS 2010/2011 Klausur (08.04.20) : Technische Grundlagen der Informatik Digitale Systeme WS 200/20 Vorname : Max Name : Mustermann Matrikelnummer : 23456 Klausur-Code : 007 Mobiltelefone sind auszuschalten Wichtige

Mehr

1 Entwurf und Verhalten einfacher, synchroner Automaten

1 Entwurf und Verhalten einfacher, synchroner Automaten 1 Entwurf und Verhalten einfacher, synchroner Automaten 1.1 Vergleich der Automatenstrukturen Mealy-Automat Rückkopplung des aktuellen Zustands Mealy-FSM I Externe Eingänge Übergangsschaltnetz Z + Zustands-

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Integrated HW/SW Systems Group IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel

Integrated HW/SW Systems Group   IHS2 Seminar. 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Simulation / VGA Ansteuerung 19 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 19 November 2009 1 Inhalt Simulation Erstellen einer Testbench Einrichten der Simulation Durchführen

Mehr

FPGA-Entwurf mit VHDL. Serie 3

FPGA-Entwurf mit VHDL. Serie 3 Christian-Albrechts-Universität zu Kiel Institut für Informatik Lehrstuhl für Technische Informatik Prof. Dr. Manfred Schimmler Dipl.-Inf. Lars Wienbrandt FPGA-Entwurf mit VHDL Sommersemester 2011 Serie

Mehr

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers

2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik. Entwurf eines digitalen Weckers Friedrich-Alexander-Universität Erlangen-Nürnberg Informatik 12 Am Weichselgarten 3 91058 Erlangen 2. Praktische Übung zur Vorlesung Grundlagen der Technischen Informatik Entwurf eines digitalen Weckers

Mehr

Übungsblatt 8 Lösungen:

Übungsblatt 8 Lösungen: Übungsblatt 8 Lösungen: Aufgabe 71: VHDL Halbaddierer Schnittstellenbeschreibung und Modellbeschreibung(Verhaltensmodell) eines Halbaddierers: ENTITY halbaddierer IS GENERIC (delay: TIME := 10 ns); PORT

Mehr

Grundlagen der Technischen Informatik. 12. Übung

Grundlagen der Technischen Informatik. 12. Übung Grundlagen der Technischen Informatik 2. Übung Christian Knell Keine Garantie für Korrekt-/Vollständigkeit 2. Übungsblatt Themen Aufgabe : Aufgabe 2: Aufgabe 3: Flipflops - Automaten Synchrones Schaltwerk

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Schülerseminar Programmieren einer Ampelsteuerung

Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 1/17 Schülerseminar Programmieren einer Ampelsteuerung Prof. G. Kemnitz Institut für Informatik 23. April 2016 Prof. G. Kemnitz Institut für Informatik

Mehr

Übung 5: VHDL Zähler

Übung 5: VHDL Zähler Übung 5: VHDL Zähler Aufgabe 1 TL Diagramm Sekunden und Minuten Zähler. (a) Entwerfen Sie ein TL Diagramm für die Sekunden- und Minuten-Zähler des DF77 Projekts. (b) Bestimmen Sie die erwartete Anzahl

Mehr

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware.

Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Tutorial Xilinx ISE13 Lothar Miller 12/2011 Seite 1 Eine blinkende LED mit Xilinx ISE 13: das Hello World! der Hardware. Das hier ist eine Schritt-für-Schritt Anleitung, in der gezeigt wird, wie mit Xilinx

Mehr

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1

IHS2 Praktikum. Zusatzfolien. Integrated HW/SW Systems Group. IHS2 Praktikum Zusatzfolien 2012 Self-Organization 20 April 2012 1 IHS2 Praktikum Zusatzfolien Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 2012 Self-Organization 20 April 2012 1 Projektaufgabe des Seminars Grafische Bildausgabe an einem Monitor Erzeugen der Steuersignale

Mehr

Manual Universaladapterboard für Spartan3_Board

Manual Universaladapterboard für Spartan3_Board Manual Universaladapterboard für Spartan3_Board Programmieranschluss Tastaturanschluss Mausanschluss Richtungs LEDs Logik Level LED Verbinder zum Spartan3_Board Manual_Universaladapterboard_deu.pdf 1/6

Mehr

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit

D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit D.5: Versuchsreihe 5: Arithmetisch-Logische Einheit D D.5 Versuchsreihe 5: Arithmetisch-Logische Einheit Abgabedatum: 21.05.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor abzuzeichnen)

Mehr

FAKULTÄT FÜR INFORMATIK

FAKULTÄT FÜR INFORMATIK FAKULTÄT FÜR INFORMATIK TECHNISCHE UNIVERSITÄT MÜNCHEN Lehrstuhl für Rechnertechnik und Rechnerorganisation Prof. Dr. Arndt Bode Einführung in die Rechnerarchitektur Wintersemester 2016/2017 Lösungsvorschlag

Mehr

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige

Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Tutorial Vivado/VHDL Teil 3 7-Segment-Anzeige Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Umgang mit Vektoren und bedingten nebenläufigen

Mehr

GTI Bonus VHDL - EXTRA

GTI Bonus VHDL - EXTRA 1 GTI Bonus VHDL - EXTRA 2 Beschreibung Gegeben seien die Moore- (Abbildung 1) und Mealy-Automaten (Abbildung 2) der Armbanduhr aus Übungsblatt 11. 3 Beschreibung Gegeben seien die Moore- (Abbildung 1)

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l ` e p ` e r i b c o q b ` e k f h r k a t f o q p ` e ^ c q c ^ ` e e l ` e p ` e r i b m c l o w e b f j d b p q ^ i q r k d e l `

Mehr

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016.

Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. Schaltsymbole in der Digitaltechnik Anhang zum Lehrbuch Digitaltechnik, Gehrke, Winzker, Urbanski, Woitowitz, Springer-Verlag, 2016. In diesem Anhang erfolgt eine Zusammenfassung der wichtigsten Begriffe

Mehr

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs

Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Entwurf von digitalen Schaltungen und Systemen mit HDLsundFPGAs Einführung mit VHDL und SystemC von Prof. Dr.-Ing. Frank Kesel und Dr. Rüben Bartholomä 2., korrigierte Auflage Oldenbourg Verlag München

Mehr

Sequentielle Schaltungen 37 SS 96. Steuerpfad

Sequentielle Schaltungen 37 SS 96. Steuerpfad Sequentielle Schaltungen 37 SS 96 Steuerpfad Der Steuerpfad dient zur Erzeugung von Steuersignalen. Die erzeugten Steuersignale hängen vom Bearbeitungsstand ("Zustand") der Aufgabe und von Eingangsgrößen

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

Funktionsblöcke

Funktionsblöcke 11 Anhang 11.1 Schaltsymbole in der Digitaltechnik In diesem Kapitel erfolgt eine Zusammenfassung der wichtigsten Begriffe und Symbolzeichen, die nach DIN 40900 Teil 12 zur Kennzeichnung digitaler Schaltungen

Mehr

Kapitel 5 - Datenübertragung

Kapitel 5 - Datenübertragung Kapitel 5 - Datenübertragung Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und

Mehr

Übung 3: VHDL Darstellungen (Blockdiagramme)

Übung 3: VHDL Darstellungen (Blockdiagramme) Übung 3: VHDL Darstellungen (Blockdiagramme) Aufgabe 1 Multiplexer in VHDL. (a) Analysieren Sie den VHDL Code und zeichnen Sie den entsprechenden Schaltplan (mit Multiplexer). (b) Beschreiben Sie zwei

Mehr

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik

Kapitel 10, VHDL, Teil 2. Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design. Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Kapitel 10, VHDL, Teil 2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design VHDL Syntax und Semantik von VHDL Entwurf einer Verkehrsampelsteuerung

Mehr

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine.

Bereiten Sie für alle Schaltungen einen Verdrahtungsplan vor unter Verwendung der Pin-Belegung aus den Datenblättern der verwendeten Bausteine. Fachbereich Physik Elektronikpraktikum 10 Flipflops und Zähler Stichworte zur Vorbereitung: Flankengetriggerte FFs, Asynchron-, Synchronzähler und Schieberegister. Schriftliche Vorbereitung: Zeichnen Sie

Mehr

5.2 Endliche Automaten

5.2 Endliche Automaten 5.2 Endliche Automaten 129 5.1.6 Kippstufen Flip-Flops werden auch als bistabile Kippstufen bezeichnet. Bistabil meint, dass beide Kippwerte, also 0 und 1 stabil sind. Diese Bezeichnung legt nahe, dass

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Labor Ausgabe 1.0, 09.01.2017 Autor: Klaus Gosger K. Gosger, 2016 / 2017 Skript 1/17 K. Gosger, 2016 / 2017 Skript 2/17 Inhaltsverzeichnis Versuch 1 - Schachuhr... 4 1.1 Konzeption

Mehr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr

Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch , 14:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 2 Entwicklung und Test sequentieller Logik Abgabefrist: Mittwoch 10.05.2017, 14:00 Uhr 1.1. Einführung In dieser Übung werden Sie ein VHDL-Modul

Mehr

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen

System-on-chip Car. Übungsblatt 1. Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen System-on-chip Car Übungsblatt 1 Bearbeitung bis spätestens 9. Mai 2008, Demonstration im Labor Bearbeitungszeit: 3 Wochen Die folgenden Quellen sind für die Bearbeitung der Aufgaben hilfreich. Gunther

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Fahrstuhls Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2 Versuchsdurchführung...

Mehr

Konfiguration des MIG für DDR2-SDRAM Ansteuerung

Konfiguration des MIG für DDR2-SDRAM Ansteuerung Konfiguration des MIG für DDR2-SDRAM Ansteuerung Verwendete Ressourcen: Board: Spartan-3A/3AN FPGA Starter Kit Board FPGA: XC3S700AN Speicher: Micron Technology DDR2-SDRAM (MT47H32M16) Software: - ISE

Mehr

Entwurf digitaler Schaltungen

Entwurf digitaler Schaltungen rof. G. Kemnitz Institut für Informatik, Technische Universität Clausthal 30. April 2014 1/32 Entwurf digitaler Schaltungen Laborübung 2: VHDL und FPGAs Prof. G. Kemnitz Institut für Informatik, Technische

Mehr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2018 Übungsblatt 5 Entwurf eines Mehrzyklen-Datenpfads Abgabefrist: Mittwoch 16.05.2018, 10:00 Uhr 1.1. Einführung In Übung 4 haben Sie einen Einzyklen-Datenpfad

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Mögliche Praktikumsaufgaben

Mögliche Praktikumsaufgaben Kapitel 8 Mögliche Praktikumsaufgaben 8.1 System on a Chip : Entwurf eines Sound-Moduls In diesem Praktikum soll ein Sound-Modul (Soundkarte) mit Master-Zugang zum Core Connect PLB-Bus entworfen werden.

Mehr

5.Vorlesung Rechnerorganisation

5.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 29. April 2004 1 Inhalt: 5.Vorlesung Rechnerorganisation Wiederholung aus Digitaltechnik: Allgemeiner Überblick über VHDL (Teil 1) Schwerpunkt Modellierungssichtweisen,

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 6 - ALU Testbench Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Test der ALU Syntax - In ModelSim laden - Einfacher Teil Semantik

Mehr

Aufgabe 1: Kombinatorische Schaltungen

Aufgabe 1: Kombinatorische Schaltungen Aufgabe 1: Kombinatorische Schaltungen a) Geben Sie die VHDL-Beschreibung (entity und architecture) einer Schaltung quersumme an, die für einen Bitvektor x der Länge n die Anzahl der 1-Bits von x zurückliefert.

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD.

HTWK Leipzig Fakultät EIT. Praktikum Schaltungstechnik. Versuch PLD. Anleitung zum. Bedienen der Entwurfssoftware. StateCAD. Versuch PLD Anleitung zum Bedienen der Entwurfssoftware StateCAD am Beispiel einer Ampelsteuerung Prof. Dr. W. Reinhold, HTWK Leipzig 01.06.16 1 Inhaltsverzeichnis 1 STATECAD... 2 2 STATEBENCH... 15 1

Mehr

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl

Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann Institut für Informatik, Technische Universität Clausthal7. Mai 20151/26 Entwurf digitaler Schaltungen Groÿe Übung 3 Simulation mit ghdl Prof. G. Kemnitz, Dr. C. Giesemann

Mehr

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007

Klausur ( ): Technische Grundlagen der Informatik 1 Digitale Systeme WS 2006/2007 Klausur (9.02.2007): Technische Grundlagen der Informatik Digitale Systeme WS 2006/2007 Vorname :.............................................. Name :.............................................. Matrikelnummer

Mehr

Integrated HW/SW Systems Group 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel.

Integrated HW/SW Systems Group  20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel. Erweiterte Simulation / Aufgaben 20 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 20 November 2009 1 Inhalt Erweiterung der Simulation Ausgabe der Bilddaten in eine Datei

Mehr

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II

Hardwarepraktikum WS 1997/98. Versuch 5. Sequentielle Systeme II Hardwarepraktikum WS 1997/98 Versuch 5 Sequentielle Systeme II Jan Horbach, 17518 hris Hübsch, 17543 Lars Jordan, 17560 Seite 1 Aufgabenstellung Entwerfen und realisieren Sie unter Verwendung dreier JK-MS-FF

Mehr

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator

Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Tutorial Vivado/Verilog Teil 6 Zählerbasierter Taktgenerator Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, die Nutzung von synthetisierbaren

Mehr

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 -

Übersicht. Prof. Dr. B. Lang, FH Osnabrück Konstruktion digitaler Komponenten, 6 Synthese - 1 - Übersicht 1. Einführung 2. VHDL-Vertiefung 3. Hierarchischer und generischer Entwurf 4. Grundstrukturen digitaler Schaltungen 5. Zielarchitekturen 6. Synthese 7. Soft-Prozessoren 8. Ausgewählte Beispiele

Mehr

D.6 Versuchsreihe 6: Registersatz und Programmzähler

D.6 Versuchsreihe 6: Registersatz und Programmzähler D.6: Versuchsreihe 6: Registersatz und Programmzähler D D.6 Versuchsreihe 6: Registersatz und Programmzähler Abgabedatum: 04.06.2010 Name: Gruppe: Theorie: Versuch: (vom Tutor abzuzeichnen) (vom Tutor

Mehr

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018

Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring Berlin Kempten, 24. Mai 2018 Stefan Dumler Friedrichshafener Str. 1 87439 Kempten E-Mail: stdumler@web.de Dipl.-Ing. (TU) Klaus-Eckart Schulz Birnbaumring 64 13159 Berlin Kempten, 24. Mai 2018 Sehr geehrter Herr Schulz, das auf Ihrer

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden.

Name: DT2 Klausur Bitte achten Sie auf eine saubere Form. Nicht leserliches kann nicht bewertet werden. Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 90 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

4 Entwurf eines Prozessorelementes

4 Entwurf eines Prozessorelementes 4 Entwurf eines Prozessorelementes In diesem Kapitel werden die Prinzipien des Entwurfs eines Prozessorelementes vorgestellt: Spezialprozessor, dessen Funktion unabhängig von einem Programm fest verdrahtet

Mehr

Name: DT2 Klausur

Name: DT2 Klausur Name: Punkte: Note: Hinweise für das Lösen der Aufgaben: Zeit: 60 min. Name nicht vergessen! Geben Sie alle Blätter ab. Erlaubte Hilfsmittel sind Taschenrechner und Zusammenfassungen. Nicht erlaubt ist

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Entwurf digitaler Systeme mit VHDL-1076

Entwurf digitaler Systeme mit VHDL-1076 Entwurf digitaler Systeme mit VHDL1076 Vorlesung aus dem Grundstudium für Studierende der Fachrichtung Informatik Diplom Zielsetzung Wie werden digitale Schaltungen entworfen? Erlernen einer Hardwarebeschreibungssprache

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag,

Protokollant: Projekt 2 Programmierbare Logik. Elektronik-Praktikum, Digitalteil Institut für Kernphysik. Dienstag, Elektronik-Praktikum, Digitalteil Institut für Kernphysik Protokoll Projekt 2 Programmierbare Logik Intsar Bangwi Physik Bachelor bangwi@gmail.com Sven Köppel Physik Master koeppel@fias.uni-frankfurt.de

Mehr

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010

VHDL Synthese. Dr.-Ing. Matthias Sand. Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2009/2010 VHDL Synthese 1/36 2009-11-02 Inhalt Begriff Arten Kombinatorische

Mehr

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur

Praktikum Rechnerarchitektur. Seite 1 Prof. Dr.-Ing. Ulrich Schmidt 2011 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Seite Prof. Dr.-Ing. Ulrich Schmidt 2 Praktikum Rechnerarchitektur Praktikum Rechnerarchitektur Inhalt Literatur Field Programmable Gate Array (FPGA) DE Development and Evaluation

Mehr

Medien- Technik. Videotechnik

Medien- Technik. Videotechnik Videotechnik 1 Optimaler Sehabstand Winkel < 1/60 Europa 625 / 50 / 2:1 USA 625 / 59.94 / 2:1 HDTV 1125 / 60 / 2:1 Seitenverhältnis 4:3 trad. 16:9 HDTV Bildwiederholrate muß bei hellem Umfeld höher sein

Mehr

3. Prozesse in VHDL 1

3. Prozesse in VHDL 1 3. Prozesse in VHDL 1 entity VOLLADDIERER is port( A, B, CIN: in std_logic; S, COUT: out std_logic; end VOLLADDIERER; architecture VERHALTEN of VOLLADDIERER is VA: process(a, B, CIN) variable TEMP_IN:

Mehr

16 Latches und Flipflops (Bistabile Kippstufen)

16 Latches und Flipflops (Bistabile Kippstufen) 6 Latches und Flipflops (Bistabile Kippstufen) Latches und Flipflops dienen als Speicherelemente in sequentiellen Schaltungen. Latches werden durch Pegel gesteuert (Zustandssteuerung). Bei der VHDL-Synthese

Mehr

Entwurf digitaler Systeme

Entwurf digitaler Systeme Entwurf digitaler Systeme Aufgabe 1 - Dekoder für Segmentanzeige Eine Schaltung soll einen 4-Bit BCD-Code umsetzen zur Ansteuerung einer Anzeige mit 7 Segmenten, wie in der folgenden Abbildung gezeigt.

Mehr

1. Beschreibung der Aufgabe

1. Beschreibung der Aufgabe d b p q ^ i q r k d d b p q ^ i q r k d c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q b ` e k f h r k a c ^ ` e e l ` e p ` e r i b m c l o w e b f j e l ` e p ` e r i b c o q

Mehr

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach

Outline Logik Speicher Synthese Signale/Variablen Packages Generische Designs. Basics. Marc Reichenbach Basics Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 45 Gliederung Kombinatorische Logik Speicher (Latch, DFF, Register) Synthese Signale/Variablen Packages

Mehr

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK

Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Prototyping eines universellen ISM-Band Transmitters auf Basis des NI FlexRIO MDK Dipl.-Ing. (FH) Alexander Weidel A M S Software GmbH Dipl.-Ing. (FH) Christoph Landmann, M.Sc. National Instruments Germany

Mehr