Einführung in die Elektronik für Physiker

Größe: px
Ab Seite anzeigen:

Download "Einführung in die Elektronik für Physiker"

Transkript

1 Hartmut Gemmeke Forschungszentrum Karlsruhe, IPE Tel.: Einführung in die Elektronik für Physiker 2. ALTERA HDL Übungen Beschreibung des Experimentieraufbaus UP/2/3, DE mit Altera FPGAs Designablauf anhand von Übungen mit 4 Beispielen:.! LED gesteuert von einem Taster 2.! Anzeige eines 8-Bit Schaltfeldes mit 7-Segmentanzeige 3.! 8 Bit Volladdierer bzw. Subtrahierers mit Anzeige 4.! Asynchrone und synchrone Zähler 5.! Lauflicht Hasards Elemente für den Betrieb des MAX und FLEX Chips 9V Spannungsversorgung Byte-Blaster Programmiereingang 25,75 MHz clock Jumper für FLEX-FPGA Jumper für MAX-FPGA MAX 728 DIP-Schalter LEDs Taster 7-Segment-Anzeigen Verbindungen für MAX 728, für FLEX K2 fest verdrahtet Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 2

2 Experimentierplatine UP3 für Altera-FPGAs Mit Cyclone EPC6 Speed class 8! 275 MHz! LEs! 5.98! M4K RAM Blocks (4 Kbits + Parity! Total RAM Bits! PLLs! Maximum User I/O Pins! Differential Channels! ! 92.6! 2! 85! 72! Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 3 ALTERA DE Experimentierplatine Speed grade 7! LEs! 275 MHz! 8.752! M4K RAM Blocks (4 Kbits + Parity! 52! Embedded 8x8 multiplier! 26! PLLs! 4! Maximum User I/O Pins! 35! Differential Channels! Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 95! 4

3 Struktur des EPM728SLC84-7 MAX728 mit 28 Makrozellen in 8 Logik-Array- Blöcken (LAB Die LABs sind über frei verschaltbare Leitungen mit dem Programmable Interconnect Array verbunden (PIA Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 5 Makro-Zelle Jede Makro-Zelle hat eine und/oder-matrix mit Rückführung und einen Registerausgang 4 frei konfigurierbare Eingänge entsprechend einer LUT Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 6

4 Logic Elements des FLEX und Cyclon Chip Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 7 Programmable Interconnect Array (PIA Alle Logik-Array-Blöcke (LAB können über den PIA mit jedem anderen LAB verbunden werden: Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 8

5 Eigenschaften der unterschiedlichen Chips Feature! Chip! MAX 728! FLEXK2! FLEXK7! CycloneIIC2! Typical Gates! 2 5! 2! 7! 35! Logic Elements! Logic array blocks! 28! 52! 3 744! 8 752! 8! 44! 468! 2 344! RAM bits! --! 6 44! 8 432! 22! PINS! 84! 24! 24! 484! Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 9 Experimentierplatine UP/2 für Altera-FPGAs ALTERA MAX 7 Serie mit 28 Logischen Blöcken und 84 Pins, davon 68 nutzbare In- und Output-Pins, 25 nutzbare Gatter, f counter < 5 MHz ALTERA FLEX K2, 2 Gatter und 2288 RAM Bits, 24 Pins Definition des FPGAs EPFK2 im Assign Menu mit Device Kommando, nicht fast speed! Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2

6 Eingabeelemente: Taster und Schalter Als Inputs benötigen wir Schalter und Taster - die sind beim FLEX Chip (UP2 auf den Eingang des KXX verdrahtet siehe UP Education Board Description auf dem Netz File altera_up/2.pdf bzw. müssen noch angeschlossen werden: Taster und Schalter gehen beim Einschalten von VCC nach GND!!! Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 Außenverbindungen des MAX728S-Chips. Eine 25,75 MHz Clock ist schon vorverdrahtet auf Pin 83 vom EPM728S, bzw. EPFK2/7. Zwei 7-Segment-Anzeigen DIG,2 mit LED-Dezimal-Punkt sind ebenfalls vorverdrahtet auf den EPM728S, siehe rechts, bzw. EPFK2/ Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 2

7 Ansteuerung der LEDs & der 7-Segment-Anzeige Die Taster entsprechen active low -Signalen und auch die LED s benötigen zur Ansteuerung ein active low -Signal (siehe Bild rechts, D.h. für die LED-Anzeige eines oder 2er Taster benötige ich ein NOR mit invertierten Eingängen oder ein AND: LED = a "b = a#b Entsprechend muss auch der 7-Segment- Dekoder gegenüber der Schaltung in Vorlesung 9 invertiert werden! Der Anschluss ist hier fest verdrahtet, siehe Folie Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 3 Werkzeuge für den Design Graphische und textuelle Editoren Compiler und Optimierer Simulation, d.h. einfügen der Zeitverzögerungen, sowie Analyse der Ergebnisse mit graphischen Werkzeugen Programmierung des Chips MAX+PLUS II Betriebsart von Quartus Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 4

8 Designablauf Design Compilation Pin-Planer Simulation Graphischer Design Timing-Analyser Programmierung Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 5 Einige Übungen mit dem ALTERA AHDL-Tool Inbetriebnahme des Quartus Tools 7.2 mit ALTERA MAX II Oberfläche und des Design-Flows am Beispiel.! einer LED gesteuert von 2 Tastern (entprellt oder wie unterdrücken wir das mechanische Mehrfachansprechen eines Schalters digital? a! Eingabe und Aufbau des Codes mit Texteditor b! c! d! e! Wie macht man die Verbindung vom Chip nach außen? Kompilierung und Inhalt Report-Files Simulation des Systems Synthese der Logik und Programmierung des Bausteins SUBDESIGN pushbutton ( /start, /stop led VARIABLE /q led = /start!& /q; /q = /stop!& led; : INPUT; % pin 48 = /start, pin 49 = /stop% : OUTPUT; % pin 53 = led % :node; Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 6

9 Übungen mit dem ALTERA AHDL-Tool II 2.! Anzeige eines 8-Bit-Schalters mit einer LED-Zeile a! Funktionale und zeitliche Simulation mit Hilfe des Wafe-Form-Editors. b! Umgang mit dem Editor und Interpretation der Ausgabe. 3.! eines 8 Bit Volladdierers/Subtrahierers mit 7-Segment-Anzeige a! Eingabe einer 7-Segment-Anzeige und des Addierers aus der LPM-Bibliothek b! Umprogrammierung der Logik in einen Subtrahierer SUBDESIGN 7segmentn -- dekodiert B"" bis B"" in Steuerkode für 7-Segmentanzeige ( -- in negativer Ausgangslogik oder H"" bis H"F" in bis F in_bin[3..], dp : INPUT; out[7..] : OUTPUT; out[7] =!dp; TABLE -- Eine kombinatorische Logik lässt sich auch durch eine Wahrheitstafel darstellen in[3..] => out[6..]; % = g,f,e,d,c,b,a % H"" => B""; H"" => B""; % -a- % H"2" => B""; % f b % H"3" => B""; % -g- % H"4" => B""; % e c % H"5" => B""; % -d- % H"6" => B""; % % H"7" => B""; % A b C d E F % H"8" => B""; H"9" => B""; H"A" => B""; H"B" => B""; H"C" => B""; H"D" => B""; H"E" => B""; H"F" => B""; END TABLE; Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 7 Test des 7 Segment Displays und Adders %Test 7-Segment-Anzeige% INCLUDE "7Segment.inc"; INCLUDE "lpm_add_sub.inc"; SUBDESIGN Test7Segment ( para[7..], para2[7..], /start :INPUT; -- para wird durch Schalter eingegeben -- /start erlaubt mit den LEDs para,2 darzustellen segm[7..], segm2[7..], leds[7..] :OUTPUT; VARIABLE 7segm, 7segm2 :7Segment; 8bitadd :lpm_add_sub WITH (LPM_WIDTH=8, LPM_DIRECTION= ADD"; % für Subtraktion LPM_DIRECTION = SUB % IF /start THEN leds[ ] = para[ ]; % default LED display is para % ELSE leds[ ] = para2[ ]; % if /start gedrückt LED display is para2 % END IF; 8bitadd.dataa[ ] =!para[ ]; 8bitadd.datab[ ] =!para2[ ]; 7segm2.dp = GND; % Dezimalpunkt least significant Bit = aus % 7segm2.in[3..] = 8bitadd.result[3..]; segm2[ ] = 7segm2.out[ ]; 7segm.dp = 8bitadd.cout; % falls carry gesetzt, dp an 7segm.in[3..] = 8bitadd.result[7..4]; segm[ ] = 7segm.out[ ]; Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 8

10 Aufgabe 4: Zähler asynchron und synchron Hier kann man die Bibliotheksroutinen für den Toggle- (FUNCTION TFF (t, clk, clrn, prn" RETURNS (q; oder JK-FlipFlop (FUNCTION JKFF (j, k, clk, clrn, prn RETURNS (q; nutzen -- INCLUDE lpm_counter ; INCLUDE "countasync.inc";! SUBDESIGN testzaehler ( takt : INPUT; q[7..] : OUTPUT; VARIABLE %count :lpm_counter WITH (lpm_width=8;% count :countasync; count.clock = takt; leds[ ] = count.q[ ]; SUBDESIGN counterasync %asynchroner Zähler% ( clock :INPUT=GND; q[7..] :OUTPUT; VARIABLE count[7..] :TFF; count[].clk =!clock; count [7..].clk =!count[6..].q; count [ ].t = VCC; q[ ]=count[ ].q; asynchron J=K= synchron Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung Programmierbares Lauflicht % Ausgabe LED-Reihe parallele Eingabe DIP -8 = para Geschwindigkeitswahl DIP2-4 = para2 Start/Stop Taste Push Button SW4 Richtungswechsel Push Button SW6 % INCLUDE "lpm_counter.inc"; SUBDESIGN Lauflicht ( /startstop, /richtung :INPUT; para[7..], clock, speed[3..] :INPUT; leds[7..] :OUTPUT; VARIABLE teiler :lpm_counter WITH (LPM_WIDTH=2; mws :MACHINE WITH STATES (s,s2,s4,s5,s6; ff[7..] :DFFE; richtff :TFF; zaehler :lpm_counter WITH (LPM_WIDTH=4; mws.clk = clock; teiler.clock = clock; ff[ ].clk = clock; leds[7..] =!ff[7..].q; richtff.t = VCC; richtff.clk = /richtung; zaehler.clock = teiler.cout; zaehler.data[ ] = speed[ ]; zaehler.aload = (mws == s4; TABLE mws,!/startstop, zaehler.cout => mws; s, GND, x => s; % Bitmuster laden % s, VCC, x => s2; % start ist gedrückt % s2, VCC, x => s2; % warte auf fallende % s2, GND, x => s4; % Flanke von start % s4, x, x => s5; % shifte Bitmuster um % s5, GND, GND => s5; % warte auf cout % s5, x, VCC => s4; % cout gefunden % s5, VCC, GND => s6; % stop gefunden % s6, VCC,x => s6; % warte auf stop-ende % s6, GND,x => s; % Ende Lauflicht % END TABLE; CASE mws IS WHEN s => ff[ ].ena = VCC; ff[ ].d =!para[ ]; WHEN s4 => ff[ ].ena = VCC; IF richtff.q THEN % rückwärts % ff[6..].d = ff[7..].q; ff[7].d = ff[].q; ELSE % vorwärts % ff[7..].d = ff[6..].q; ff[].d = ff[7].q; END IF; WHEN OTHERS => ff[ ].ena = GND; END CASE; Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 2

11 Statische Hasards für -Level: A C B! A = B =! Y = A & C # B & C! = & C # & C = (immer =? Risiken(Hasards! Timing-Diagramm zeigt die Realität: Verzögerung durch C gibt Probleme, C ist invertiert und verzögert! Lösung? C & & D E! Y A B C C D E Y Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 2 Hasard und die Vermeidung Vermeidung durch redundanten Term: Der Fehler tritt nur auf, wenn A=B= Y = A & C # B & C # A & B A Ebenso gibt es dynamische Hasards C B C & & D E! Y & F Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 22

12 Aufgabe 3: Addierer wir wollen einen optimalen Design für einen Volladdierer finden.! Aus 2 Halbaddierern 2.! Als Lookup-Tabelle 3.! Als Bibliothekselement Optimierungsziel: minimale Laufzeit und minimale Anzahl verbrauchter Gatter SUBDESIGN Volladd -- aus 2 Halbaddierern ( carry_in, a, b : INPUT; sum, carry_out : OUTPUT; VARIABLE sum, carry_out_ : NODE; sum = a $ b; carry_out_ = a & b; sum = sum $ carry_in; carry_out = carry_out_ # (carry_in & sum; -- für Subtrahierer muss man gemäß Differenz = a + nicht b + die Anweisungen umschreiben Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 23 Volladdierer als logische Tabelle SUBDESIGN Volladd2 -- als logische Tabelle ( carry_in, a,b : INPUT; sum, carry_out : OUTPUT; TABLE -- 3 Bit Logik lässt sich auch durch eine Wahrheitstafel darstellen carry_in, a, b => carry_out, sum; (,, =>, ; (,, =>, ; (,, =>, ; (,, =>, ; (,, =>, ; (,, =>, ; (,, =>, ; (,, =>, ; END TABLE; -- Subtraktion mit Hilfe einer neuen Tabelle gemäß der Beschreibung in der vorhergehenden Logik von Volladd Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 24

13 Volladdierer aus der Bibliothek INCLUDE "lpm_add_sub.inc"; SUBDESIGN volladd ( a[7..], b[7..] : INPUT; sum[7..], carry_out : OUTPUT; VARIABLE 8bitadder[7..] : lpm_add_sub WITH (LPM_WIDTH=8, LPM_add_sub= ADD ; 8bitadder[ ].a = a[ ]; 8bitadder[ ].b = b[ ]; carry_out=8bitadder[7].cout; sum[ ]= 8bitadder[ ].sum Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 25 Vergleich der verbrauchten Ressourcen Gleiche Optimierungsbedingungen für den Addierer aber unterschiedliche Anzahl von Gattern verbraucht? Art der Ressourcen! Logische Zellen! Adder (logische Gleichung! Adder2 (Tabelle! 2! 2! 2! Hasards*! viele! wenige! keine! Adder3 (LPM- Bibliothek! So einfach geht es nicht, wir müssen erst den Zeitablauf in Ordnung bringen und Hasards studieren aber für statische Operationen, d.h. nach circa 2 bis 3 Gatterlaufzeiten stimmt das Ergebnis! * Risiken, die im Zeitablauf zu einem Fehler führen, siehe Hartmut Gemmeke, WS28/29, Einführung in die Elektronik, Vorlesung 2 26

Einführung in die Elektronik für Physiker

Einführung in die Elektronik für Physiker Hartmut Gemmeke Forschungszentrum Karlsruhe, IPE gemmeke@ipe.fzk.de Tel.: 0727-82-5635 Einführung in die Elektronik für Physiker 19. ALTERA HDL Was ist AHDL? die proprietäre Hardwarebeschreibungssprache

Mehr

4.Vorlesung Rechnerorganisation

4.Vorlesung Rechnerorganisation Mario.Trams@informatik.tu-chemnitz.de, 22. April 2004 1 Inhalt: 4.Vorlesung Rechnerorganisation technischer Hintergrund der von uns verwendeten Experimentierhardware kurze Einführung in das Altera Entwicklungssystem

Mehr

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software

Einführung in die Quartus II Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1.1 Installation der Software Fakultät für Physik Prof. Dr. H. Gemmeke A. Schmidt, J. Erfle, M. Frey, Dr. F. Hartmann 14. Dezember 2007 Einführung in die Quartus II Software und die Altera-Boards Inhaltsverzeichnis 1.1 Installation

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt

Outline Schieberegister Multiplexer Zähler Addierer. Rechenschaltungen. Marc Reichenbach und Michael Schmidt Rechenschaltungen Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 22 Gliederung Schieberegister Multiplexer Zähler Addierer 2 / 22 Schieberegister

Mehr

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch

Laborübung 2. Teil 1: Latches, Flipflops, Counter. Abbildung 1: Schaltkreis eines Gated D-Latch Laborübung 2 Teil 1: Latches, Flipflops, Counter A 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Laborübung 4. Zustandsautomaten (Finite State Machines)

Laborübung 4. Zustandsautomaten (Finite State Machines) Laborübung 4 Zustandsautomaten (Finite State Machines) Für den Entwurf und die Beschreibung von digitalen Systemen bilden Zustandsautomaten (Finite State Maschines; FSMs) eine wesentliche Grundlage. Mit

Mehr

Programmierbare Logik

Programmierbare Logik Programmierbare Logik Programmierung Input PLD Programmable Logic Device Output Liers - PEG-Vorlesung WS2000/2001 - Institut für Informatik - FU Berlin 1 /X X Grundgedanke Input Matrix Logikverknüpfung

Mehr

Einführung in die technische Informatik

Einführung in die technische Informatik Einführung in die technische Informatik Christopher Kruegel chris@auto.tuwien.ac.at http://www.auto.tuwien.ac.at/~chris VHDL VHDL Akronym für Very High-Speed Integrated Circuit Hardware Description Language

Mehr

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007

FPGA Systementwurf. Rosbeh Etemadi. Paderborn University. 29. Mai 2007 Paderborn Center for Parallel l Computing Paderborn University 29. Mai 2007 Übersicht 1. FPGAs 2. Entwicklungssprache VHDL 3. Matlab/Simulink 4. Entwicklungssprache Handel-C 5. Fazit Übersicht FPGAs 1.

Mehr

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen.

FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. FPGA Field Programmable Gate Array im Unterschied zu anderen PLD-Architekturen. Kasdaghli Ameni Inhalt. Die Klassifizierung von ASIC 2. Simple Programmable Logic Device SPLD 3. Complex Programmable Logic

Mehr

Integrierte Schaltungen

Integrierte Schaltungen Integrierte Schaltungen Klassen von Chips: SSI (Small Scale Integrated) circuit: 1 bis 10 Gatter MSI (Medium Scale Integrated) circuit: 10 bis 100 Gatter LSI (Large Scale Integrated) circuit: 100 bis 100

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V.

GAL 16V8. 4. Laboreinheit - Hardwarepraktikum SS 2002 VCC / +5V. Eingang / Clock. 8 konfigurierbare Ausgangszellen. 8 Eingänge GND / 0V. 1. Versuch Programmierbare Logik 4. Laboreinheit - Hardwarepraktikum SS 2002 Am Beispiel des GAL16V8 und eines GAL Development Systems werden die Möglichkeiten und Einsatzgebiete von programmierbare Logikbausteine

Mehr

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap.

Tietze, Schenk: Halbleiterschaltungstechnik (Kap. 10) Keller / Paul: Hardwaredesign (Kap. 5) L. Borucki: Digitaltechnik (Kap. 6 Versuch Nr. 5 6.1 Anmerkungen zum Versuch Nr. 5 In den bisherigen Versuchen haben Sie sich mit kombinatorischen Schaltkreisen beschäftigt, in denen die Ausgänge bisher nicht auf die Eingänge zurückgeführt

Mehr

Lösung Versuch Nr. 4

Lösung Versuch Nr. 4 Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 4 1: Latches 1. RS Latch. Legen Sie ein neues Grafik Editor File rs_latch.gdf an (dieses und alle weiteren

Mehr

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 1.1: Verilog Lösungsvorschlag 1. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Wie können Werte an Wire-Variablen zugewiesen

Mehr

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle

Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle Minimierung nach Quine Mc Cluskey Ermitteln der Primtermtabelle # A B C D OK m9 + m11 1 0 1 P1 m7 + m15 1 1 1 P2 m11 + m15 1 1 1 P3 m0 + m1 + m4 + m5 0 0 P4 m0 + m1 + m8 + m9 0 0 P5 m4 + m5 + m6 + m7 0

Mehr

9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1

9.1.2 Schieberegister Schieberegister (SR) sind einfache lineare Verkettungen von Speicherelementen. Nach dem Takt gilt folgendes: 1 Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. Siebenborn, P. Jung, P. Skwierawski, C. Thiele 19. Januar 2013 Übung Nr. 9 Inhaltsverzeichnis 9.1 Grundschaltungen (2P).....................................

Mehr

Laborübung 3. Latches, Flipflops, Counter

Laborübung 3. Latches, Flipflops, Counter Laborübung 3 Latches, Flipflops, Counter Teil 1 Abbildung 1 zeigt den Schaltkreis eines gated D-Latches. In Listing 1 wird exemplarisch ein Stück VHDL-Code vorgestellt, der den abgebildeten Schaltkreis

Mehr

Lösung Versuch Nr. 6 Melody-Player

Lösung Versuch Nr. 6 Melody-Player Digitaltechnik Praktikum 1.Sem. IIIB 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 6 Melody-Player 1: Melodie aus Zufallsgenerator 1. Überlegen Sie sich, wie man die Grundschaltung des MLS-Generators

Mehr

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009

Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 Fachgebiet Rechnerarchitektur Fachbereich Informatik Aufgabe 2.1: Zähler Lösungsvorschlag 2. Übung Technische Grundlagen der Informatik II Sommersemester 2009 a) Beschreiben Sie einen 4-Bit-Zähler in Verilog

Mehr

Übung Hardwareentwurf

Übung Hardwareentwurf Übung Hardwareentwurf Übung vom. Mai 25 Stefan Reichör HWE- 25- Slides7.tex (7. Mai 25) Überblick Finite Machines Moore FSM Mealy FSM Implementierung von FSMs in VHDL Xilinx Synthesetool Xilinx LUTs Übung

Mehr

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen

Verilog/VHDL. Mehdi Khayati Sarkandi Uni Siegen Mehdi Khayati Sarkandi Uni Siegen Hardware Description Language (HDL) Werkzeug zum Entwurf komplexer digitaler Schaltungen, zur Simulation des Systemverhaltens, zur Überprüfung auf korrekte Funktionsfähigkeit

Mehr

Hardware Programmierbare Logik

Hardware Programmierbare Logik Hardware Programmierbare Logik Dr.-Ing. Matthias Sand Lehrstuhl für Informatik 3 (Rechnerarchitektur) Friedrich-Alexander-Universität Erlangen-Nürnberg WS 2010/2011 Hardware Programmierbare Logik 1/23

Mehr

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS

3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Physikalisches Praktikum für Vorgerückte 3-BIT VOLLADDIERER MIT EINZELNEM EINGABE-DATENBUS Simon C. Leemann, Abteilung für Physik Versuch: Digitale Elektronik November 998 Zusammenfassung In diesem Bericht

Mehr

Carry Lookahead Adder

Carry Lookahead Adder Carry Lookahead Adder Mittels der Generate und Propagate Ausdrücke lässt ich dann für jede Stelle i der Carry (Übertrag) für die Stelle i+1 definieren: Für einen 4 Stelligen Addierer ergibt sich damit:

Mehr

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop?

Handelt es sich um ein taktzustands- oder taktflankengesteuertes D-Flipflop? Kapitel 4 - Zähler Versuch 400 D-Flipflop Ein D-Flipflop besitzt nur einen Eingang D. Es hat nur zwei Betriebszustände: Bei T = 0 behält es seinen bisherigen Zustand, es speichert. Bei T = 1 übernimmt

Mehr

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design

Digital Design 2 Schaltnetze (kombinatorische Logik) Digital Design 2 Schaltnetze (kombinatorische Logik) Schaltnetze realisieren eine Schalt- oder Vektorfunktion Y = F (X) X: Eingangsvektor mit den Variablen x 0, x 1, x n Y: Ausgabevektor mit den Variablen y 0, y 1, y

Mehr

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , ,

Lehrveranstaltung: Digitale Systeme. KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel , , , Lehrveranstaltung: Digitale Systeme KS-Praktikums-Vorbereitung Dipl.-Inf. Markus Appel 24.04.2012, 25.04.2012, 26.04.2012, 27.04.2012 Übersicht Kombinatorische Schaltungen n-bit-addierer Minimierungsverfahren

Mehr

Einführung in die Quartus II/Quartus Prime Lite Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1 Software. 1.

Einführung in die Quartus II/Quartus Prime Lite Software und die Altera-Boards. Inhaltsverzeichnis. Vorbemerkungen. 1 Software. 1. Fakultät für Physik Prof. Dr. M. Weber, Dr. K. Rabbertz B. An, B. Oldenburg, T. Schuh, B. Siebenborn 2. November 2016 Einführung in die Quartus II/Quartus Prime Lite Software und die Altera-Boards Inhaltsverzeichnis

Mehr

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen

Programmierbare Logik CPLDs. Studienprojekt B Tammo van Lessen Programmierbare Logik CPLDs Studienprojekt B Tammo van Lessen Gliederung Programmierbare Logik Verschiedene Typen Speichertechnologie Komplexe Programmierbare Logik System On a Chip Motivation Warum Programmierbare

Mehr

isplsi5256va - Demoboard User Manual

isplsi5256va - Demoboard User Manual isplsi5256va - Demoboard User Manual Version. Wir sind uns der Tatsache bewusst, dass Entwickler normalerweise keine Manuals lesen. Wir empfehlen trotzdem diese Anleitung zu lesen, bevor Sie mit dem Demoboard

Mehr

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009

Übungen zu Architektur Eingebetteter Systeme. Teil 1: Grundlagen. Blatt 5 1.1: VHDL 28./29.05.2009 Übungen zu Architektur Eingebetteter Systeme Blatt 5 28./29.05.2009 Teil 1: Grundlagen 1.1: VHDL Bei der Erstellung Ihres Softcore-Prozessors mit Hilfe des SOPC Builder hatten Sie bereits erste Erfahrungen

Mehr

Teil 1: Digitale Logik

Teil 1: Digitale Logik Teil 1: Digitale Logik Inhalt: Boolesche Algebra kombinatorische Logik sequentielle Logik kurzer Exkurs technologische Grundlagen programmierbare logische Bausteine 1 Tri-State Ausgangslogik Ausgang eines

Mehr

Tutorial zur MAX+PLUS II Baseline Software von Altera

Tutorial zur MAX+PLUS II Baseline Software von Altera Tutorial zur MAX+PLUS II Baseline Software von Altera Im vorliegenden Tutorial werden Sie anhand des Entwurfes eines Halbaddierers die wichtigsten Funktionen und Befehle der MAX+PLUS II Baseline Software,

Mehr

Lattice LC4128 Platine

Lattice LC4128 Platine Lattice LC4128 Platine Elias Froehlich 1 Lattice LC4128 Platine 1 CPLDs 4 1.1 Aufbau 4 1.2 Programmierung 4 1.3 Anwendung 4 1.4 Unterschiede zu anderen Chips 4 2 LC4128 Platine 5 2.1 Hardware 5 2.2 Installation

Mehr

FPGA vs. Mikrocontroller. Agenda

FPGA vs. Mikrocontroller. Agenda FPGA vs. Mikrocontroller Name: Jan Becker Matrikelnummer: 546508 Agenda - Kurzvorstellung eines FPGAs - Komponenten eines FPGAs - Programmierung eines FPGAs - Kurzvorstellung eines Mikrocontrollers - Komponenten

Mehr

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788

IHS2 Seminar CFG. Steffen Ostendorff Zusebau, R2078, Tel: -1788 CFG Steffen Ostendorff Zusebau, R2078, Tel: -1788 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Integrated HW/SW Systems Group 2. Januar 2012 Self-Organization 05 January 2012 1 Wiederholung CFG 2. Januar

Mehr

Semestralklausur Einführung in Computer Microsystems

Semestralklausur Einführung in Computer Microsystems Semestralklausur Einführung in Computer Microsystems 07. Juli 2008 Dr.-Ing. Wolfgang Heenes Name (Nachname, Vorname) Matrikelnummer Unterschrift Prüfung Bitte ankreuzen Anzahl abgegebene Zusatzblätter:

Mehr

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12

ERA-Zentralübung 12. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 12 ERA-Zentralübung 12 Maximilian Bandle LRR TU München 27.1.2017 Schaltungsentwurf IV Rest von letzter Übung Aufgabe 11.1 Standardschaltnetze Aufgabe 10.3.3 Automaten 8 Erzeugung der Ausgabe Zuweisung der

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 4 - Aufbau eines Volladdierers Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Übersicht Entwurfsablauf Diskreter Aufbau Rechnergestützter

Mehr

Rechnernetze und Organisation

Rechnernetze und Organisation Arithmetic Logic Unit ALU Professor Dr. Johannes Horst Wolkerstorfer Cerjak, 9.2.25 RNO VO4_alu Übersicht Motivation ALU Addition Subtraktion De Morgan Shift Multiplikation Gleitkommazahlen Professor Dr.

Mehr

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK

I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 1... V H D L Tim Köhler April 2005 I EINLEITUNG SYNTAX SPEZIELLE PROBLEME BEISPIEL AUSBLICK... 2... Übersicht 1. Einleitung 2. Syntax 3. Spezielle

Mehr

Tristate Buffer / erste Module

Tristate Buffer / erste Module IP-Core Generator / Automaten / Platzierung Tristate Buffer / erste Module 27 November 2009 Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel Self-Organization 27 November 2009 1 Inhalt IP-Core Generator Implementierung

Mehr

Grundstruktur von Schaltwerken

Grundstruktur von Schaltwerken Digitaltechnik Teil1.1 THEMA Grundstruktur von Schaltwerken Beschreibung Schaltwerke benutzen im Gegensatz zu einfachen Netzwerken auch Speicherbausteine, d.h. sie haben ein Gedächnis, die Schaltung kann

Mehr

Kapitel 4. Versuch 415 T-Flipflop

Kapitel 4. Versuch 415 T-Flipflop Kapitel 4 Versuch 415 T-Flipflop Flipflops, die mit jeder steigenden oder mit jeder fallenden Taktflanke in den entgegengesetzten Zustand kippen, heissen T Flipflops ( Toggle Flipflops ). T-Flipflops können

Mehr

Logische Bausteine. Addierwerke. Grundlagen der Rechnerarchitektur Logik und Arithmetik 48

Logische Bausteine. Addierwerke. Grundlagen der Rechnerarchitektur Logik und Arithmetik 48 Logische Bausteine Addierwerke Grundlagen der Rechnerarchitektur Logik und Arithmetik 48 Addition eines einzigen Bits Eingang Ausgang a b CarryIn CarryOut Sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1

Mehr

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein

Programmierbare Logik mit GAL und CPLD. Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein Programmierbare Logik mit GAL und CPLD Einführung in die Schaltungsentwicklung mit Logikbausteinen in ISP-Technologie von Christian Ellwein R.Oldenbourg Verlag München Wien 999 Inhaltsverzeichnis Vorwort..2.2..2.2.2.3.3.4

Mehr

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte:

Versuch 1. Versuch 1.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und. Messschaltung: Gemessene Werte: Versuch Versuch.2 Messung des Kennlinienfeldes I D = f (U DS ) des N-Kanal-MOS-FET mit Parameter U GS = 3V und 4,5V. Messschaltung: I D U 2 U DS U U GS Gemessene Werte: U GS = 3V U DS [V ] I D [ma] 0 0.0

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister

Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Tutorial Vivado/Verilog Teil 5 Zyklisches Schieberegister Prof. Dr.-Ing. Michael Karagounis Dipl.-Ing. Rolf Paulus 1. Motivation Das Ziel dieses Laborversuchs ist es, den Entwurf von taktsensitiven always

Mehr

Hardware Praktikum 2008

Hardware Praktikum 2008 HaPra 2008 - Versuchsreihe 5 - ALU Hardware Praktikum 2008 Prof. Dr. H.-J. Wunderlich Dipl.-Inf. M. Imhof Dipl.-Inf. S. Holst Agenda Die HaPra-CPU Eine kleine Übersicht VHDL Projekt-Organisation Entwurf

Mehr

D i g i t a l l a b o r

D i g i t a l l a b o r Hochschule Karlsruhe Technik und Wirtschaft Fakultät für Informatik und Wirtschaftsinformatik Prof. Dr. A. Ditzinger / Dipl.-Inform. (FH) O. Gniot Prof. Dr. N. Link / Dipl.-Ing. J. Krastel Arbeiten mit

Mehr

Eingebettete Systeme

Eingebettete Systeme Einführung in Eingebettete Systeme Vorlesung 7 Bernd Finkbeiner 03/12/2014 finkbeiner@cs.uni-saarland.de Prof. Bernd Finkbeiner, Ph.D. finkbeiner@cs.uni-saarland.de 1 Schaltfunktionen! Schaltfunktion:

Mehr

Versuch: D1 Gatter und Flipflops

Versuch: D1 Gatter und Flipflops Versuch: D1 Gatter und Flipflops Vorbemerkung Es ist nicht beabsichtigt, daß Sie einfach eine vorgegebene Versuchsanordnung abarbeiten. Sie sollen die hier angewendeten Zusammenhänge erkennen und verstehen.

Mehr

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm

2 Von der Aufgabenbeschreibung zum Zustandsdiagramm 2 Von der Aufgabenbeschreibung zum Zustandsdiagramm Die erste Hauptaufgabe eines Automatenentwurfs liegt bei der Umsetzung einer textuellen Spezifikation in ein Zustandsdiagramm. Dazu ist zunächst zu prüfen:

Mehr

Teil V. Programmierbare Logische Arrays (PLAs)

Teil V. Programmierbare Logische Arrays (PLAs) Teil V Programmierbare Logische Arrays (PLAs) 1 Aufbau von PLAs Programmierbares Logisches Array (PLA): Programmierbarer Einheitsbaustein aufgebaut als ein Gitter (Array) von Basisbausteinen (Zellen).

Mehr

Versuch 1: Kombinatorische Logik SS 2018

Versuch 1: Kombinatorische Logik SS 2018 Hochschule Bochum FB E Elektrotechnik u. Informatik Praktikum DI - Digitaltechnik Betreuung: P. Hunstig (C6-19) Praktikumsraum C6-05 Versuch 1: Kombinatorische Logik SS 2018 Hinweise zur Versuchsvorbereitung:

Mehr

Computergestützter IC- Entwurf

Computergestützter IC- Entwurf FHTW Berlin Fachbereich 1 Technische Informatik, D5TI Computergestützter IC- Entwurf Simulation eines Lauflichts Übungs- Beleg Abgabetermin: 07.02.2003, 366437 1 Inhaltsverzeichnis 1 Einleitung... 3 2

Mehr

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform

Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform Praktikum zur Vorlesung Prozessorarchitektur SS 2016 Übungsblatt 1. Einführung in die Xilinx Vivado FPGA Design Plattform 1.1. Einführung In dieser Übung werden wir einen einfachen digitalen Entwurf als

Mehr

13 Programmierbare Speicher- und Logikbausteine

13 Programmierbare Speicher- und Logikbausteine 13 Programmierbare Speicher- und Logikbausteine Speicherung einer Tabelle (Programm) Read Only Memory (ROM) Festwertspeicher Nichtflüchtig Nichtlöschbar: ROM PROM bzw. OTP-ROM Anwender programmierbares

Mehr

2. Aufgabenblatt

2. Aufgabenblatt Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 2. Aufgabenblatt 28.04.2010 Aufgabe 1: Installation Xilinx ISE Als erstes muss die Entwicklungsumgebung ISE installiert werden. Die

Mehr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr

Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch , 10:00 Uhr Praktikum zur Vorlesung Prozessorarchitektur SS 2017 Übungsblatt 1 Einführung in die Xilinx Vivado FPGA Design Plattform Abgabefrist: Mittwoch 03.05.2017, 10:00 Uhr 1. Einführung In dieser Übung werden

Mehr

Speichern von Zuständen

Speichern von Zuständen Speichern von Zuständen Erweiterung eines R S Latch zu einem D Latch (D=Data, C=Clock) R S altes Q neues Q 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 R S C D altes Q neues Q 0 0 0 0 0 1 0 1 0 0 1

Mehr

Lösung Versuch Nr. 5 Automaten

Lösung Versuch Nr. 5 Automaten of f 0 of stp fwd re fwd stp f wd stp r ev 1 A 2 v of rev o f 3 s tp rev C fw d B Digitaltechnik Praktikum 1.Sem. 1 ETHZ D-ITET Institut für Elektronik Lösung Versuch Nr. 5 Automaten 1: 3-Phasen Takt Generator

Mehr

Minimierung nach Quine Mc Cluskey

Minimierung nach Quine Mc Cluskey Minimierung nach Quine Mc Cluskey F(A,B,C,D) =!A!B!C!D +!A!B!C D +!A B!C!D +!A B!C D +!A B C!D +!A B C D + A!B!C!D + A!B!C D + A!B C D + A B C D Notiere die Funktion als # A B C D Gruppe Binärelemente

Mehr

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1

Rechnerstrukturen Winter 2015 4. WICHTIGE SCHALTNETZE. (c) Peter Sturm, University of Trier 1 4. WICHTIGE SCHALTNETZE (c) Peter Sturm, University of Trier 1 Wichtige Schaltnetze Häufig verwendete Grundfunktionen Umwandeln (Decoder) Verteilen (Multiplexer) und Zusammenfassen (Demultiplexer) Arithmetisch-

Mehr

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN.

Einführung in VHDL. 1 ARCHITECTURE Tauschen OF B e i s p i e l IS. 2 SIGNAL a, b : STD_LOGIC; 4 BEGIN. 5 PROCESS( a, b ) 6 BEGIN. 2 Einführung in VHDL Wie bereits in der Einleitung erwähnt ist VHDL eine Hardwarebeschreibungssprache, die sich im Gegensatz zu Softwaresprachen dadurch auszeichnet, dass Abarbeitungen paralell ablaufen

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach

Outline Schieberegister Multiplexer Barrel-Shifter Zähler Addierer. Rechenschaltungen 1. Marc Reichenbach Rechenschaltungen 1 Marc Reichenbach Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 06/14 1 / 32 Gliederung Schieberegister Multiplexer Barrel-Shifter Zähler Addierer 2 / 32 Schieberegister

Mehr

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen

Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Protokoll zum Praktikum des Moduls Technische Informatik an der JLU Gießen Technische Informatik Versuch 2 Julian Bergmann, Dennis Getzkow 8. Juni 203 Versuch 2 Einführung Im Versuch 2 sollte sich mit

Mehr

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit

Versuchsreihe 7. Registerfile. Registerfile + Programmzähler. HaPra Versuchsreihe 7 - Registerfile + Programmzähler. 32 Register à 32 Bit HaPra 2007 - Versuchsreihe 7 - Registerfile + Programmzähler Versuchsreihe 7 Registerfile + Programmzähler Registerfile Register à Bit Schreiben in Register: - Dateneingang D(31:0) - Adresseingang A_D(4:0)

Mehr

F Programmierbare Logikbausteine

F Programmierbare Logikbausteine 1 Einordnung Ebene 6 Problemorientierte Sprache Ebene 5 Assemblersprache F Programmierbare Logikbausteine Ebene 4 Ebene 3 Ebene 2 Ebene 1 Betriebssystem ISA (Instruction Set Architecture) Mikroarchitektur

Mehr

2 Vervollständige die Wahrheitstabellen.

2 Vervollständige die Wahrheitstabellen. Finde die sieben LogikGatter im Rätsel. Die Wörter können von links nach rechts horizontal oder von oben nach unten vertikal versteckt sein. Zur Hilfe ist das erste Wort schon markiert. L B W P F F C G

Mehr

Entwickeln mit CPLDs (am Beispiel einer DCF-Uhr)

Entwickeln mit CPLDs (am Beispiel einer DCF-Uhr) Entwickeln mit CPLDs (am Beispiel einer DCF-Uhr) Autor: Buchgeher Stefan Letzte Bearbeitung: 15. Oktober 2006 Seite 2 Inhaltsverzeichnis 1. EINLEITUNG...5 2. GRUNDLEGENDES ZU DCF...6 3. SCHALTUNGSBESCHREIBUNG

Mehr

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1

IHS2 Seminar. Einführung Zusatzfolien A. Integrated HW/SW Systems Group. IHS2 Seminar 06 November 2009 Self-Organization 19 November 2009 1 Einführung Zusatzfolien A Prof. Dr.-Ing. habil. Andreas Mitschele-Thiel 06 November 2009 Self-Organization 19 November 2009 1 Empfehlungen für die Verzeichnisstruktur Unterverzeichnisse für Projekte doc

Mehr

FPGA. Field Programmable Gate Array

FPGA. Field Programmable Gate Array FPGA Field Programmable Gate Array FPGA Was ist das? Das FPGA ist ein relativ neuer, programmierbarer Baustein, der zum Aufbau digitaler, logischer Schaltungen dient. Aufbau Ein FPGA besteht aus einzelnen

Mehr

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11

ERA-Zentralübung 11. Maximilian Bandle LRR TU München Maximilian Bandle LRR TU München ERA-Zentralübung 11 ERA-Zentralübung 11 Maximilian Bandle LRR TU München 20.1.2017 Einschränkungen bei std logic vector architecture stdlogic of irgendwas signal test: std_logic_vector( 3 downto 0) := 9; -- Nicht ok -- Richtig

Mehr

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt

Outline Automaten FSM Synthesis FSM in VHDL FSM auf FPGA. State Machines. Marc Reichenbach und Michael Schmidt State Machines Marc Reichenbach und Michael Schmidt Informatik 3 / Rechnerarchitektur Universität Erlangen Nürnberg 05/11 1 / 34 Gliederung Endliche Automaten Automaten Synthese FSM Beschreibung in VHDL

Mehr

Versuch 3: Zustandsautomat - Periodenmessung

Versuch 3: Zustandsautomat - Periodenmessung Hochschule Bochum FB E Elektrotechnik u. Informatik Praktikum EDI - Digitaltechnik Betreuung: P. Hunstig AE-Labor Automobilelektronik Raum C6-09 Versuch 3: Zustandsautomat - Periodenmessung Hinweis: Bitte

Mehr

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board

Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Das erste FPGA-Projekt mit Quartus II Webedition und dem DE2-115 Board Die Programmierung eines FPGAs mit der Quartus-Software ist für den Anfänger erst mal nicht so einfach zu bewerkstelligen. Mit dieser

Mehr

FPGA-Based Architecture for Pattern Recognition

FPGA-Based Architecture for Pattern Recognition Institut für Technik der Informationsverarbeitung FPGA-Based Architecture for Pattern Recognition Institut für Prozessdatenverarbeitung und Elektronik - IPE, KIT University of the State of Baden-Wuerttemberg

Mehr

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1

17 Zähler. Hochschule für Angewandte Wissenschaften Hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK DIGITALTECHNIK 17-1 7 Zähler Zähler werden in digitalen Systemen sehr vielfältig eingesetzt: Z.B. zum Zählen von Ereignissen, zum Speichern der aktuellen Befehlsadresse eines Mikroprozessors oder zum Zählen von Zuständen

Mehr

Verilog Hardware Description Language (HDL)

Verilog Hardware Description Language (HDL) Elektrotechnik Intelligent Systems Design Verilog Hardware Description Language (HDL) Einführung Prof. Dr.-Ing. Michael Karagounis Sommersemester 2016 HDL Konzept Was ist eine Hardwarebeschreibungssprache?

Mehr

LCD board EB

LCD board EB LCD board EB005-00-3 Inhalt 1. Über dieses Dokument...2 2. Allgemeine Information...3 3. Board-Layout...6 4. Schaltungsbeschreibung...7 Anhang 1 Schaltplan Copyright Matrix Multimedia Limited 2005 seite

Mehr

5. Aufgabenblatt mit Lösungsvorschlag

5. Aufgabenblatt mit Lösungsvorschlag Einführung in Computer Microsystems Sommersemester 2010 Wolfgang Heenes 5. Aufgabenblatt mit Lösungsvorschlag 19.05.2010 Aufgabe 1: Logik, Latch, Register Geben Sie für alle folgen reg-variablen an, ob

Mehr

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08

Rechnerorganisation. (10,11) Informationskodierung (12,13,14) TECHNISCHE UNIVERSITÄT ILMENAU. IHS, H.- D. Wuttke 08 Rechnerorganisation Mathematische Grundlagen (1) Boolesche Algebren: : BMA, BAA (2,3) Kombinatorische Schaltungen (4,5) Automaten (6,7) Sequentielle Schaltungen (8) Programmierbare Strukturen (9) Rechneraufbau

Mehr

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register

Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Befehl holen. Vorlesung Rechnerarchitektur und Rechnertechnik SS Memory Adress Register Struktur der CPU (1) Die Adress- und Datenpfad der CPU: Prog. Counter Memory Adress Register Befehl holen Incrementer Main store Instruction register Op-code Address Memory Buffer Register CU Clock Control

Mehr

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education

Paul Molitor und Jörg Ritter VHDL. Eine Einführung. ein Imprint von Pearson Education Paul Molitor und Jörg Ritter VHDL Eine Einführung ein Imprint von Pearson Education München Boston San Francisco Harlow, England Don Mills, Ontario Sydney Mexico City Madrid Amsterdam Inhaltsverzeichnis

Mehr

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein.

Drücken Sie (später) bei Speichere Änderungen in der Bibliothek default? auf Nein. Kapitel 5 Ein Schieberegister besteht aus einer linearen Anordnung von Flipflops, die so miteinander verschaltet sind, dass jedes Flipflop den Zustand seines Vorgängers übernimmt und seinen eigenen Zustand

Mehr

Praktikum Digitaltechnik

Praktikum Digitaltechnik dig Datum : 1.06.2009 A) Vorbereitungsaufgaben 1) Was unterscheidet sequentielle und kombinatorische Schaltungen? Kombinatorische ~ Sequentielle ~ Ausgänge sind nur vom Zustand der Eingangsgrößen abhängig

Mehr

Getaktete Schaltungen

Getaktete Schaltungen Getaktete Schaltung DST SS23 - Flipflops und getaktete Schaltung P. Fischer, TI, Uni Mannheim, Seite Sequtielle Logik Zum Speichern des Zustands eines Systems sind Speicherelemte notwdig Abhängig vom Zustand

Mehr

Grundlagen der Technischen Informatik

Grundlagen der Technischen Informatik Universität Duisburg-Essen PRAKTIKUM Grundlagen der Technischen Informatik VERSUCH 2 Schaltungssimulation und Schaltungsanalyse Name: Vorname: Betreuer: Matrikelnummer: Gruppennummer: Datum: Vor Beginn

Mehr

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern

HARDWARE-PRAKTIKUM. Versuch L-2. Fehlersuche in digitalen Schaltungen. Fachbereich Informatik. Universität Kaiserslautern HARDWARE-PRAKTIKUM Versuch L-2 Fehlersuche in digitalen Schaltungen Fachbereich Informatik Universität Kaiserslautern Seite 2 Versuch L-2 Versuch L-2 Allgemeines In diesem Versuch soll das Auffinden und

Mehr

Kapitel 6 - Addierwerke

Kapitel 6 - Addierwerke Kapitel 6 - Addierwerke Versuch 600 Halbaddierer und Volladdierer Der bürgerliche Algorithmus des schriftlichen Addierens zerlegt die binäre Addition in die folgenden elementaren Additionen. Es ergibt

Mehr